From 43b588c2f65baa52196246f3699cd26df17b8f1c Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Jan=20K=C3=A4berich?= Date: Thu, 23 Jun 2022 17:51:15 +0200 Subject: [PATCH] Fix generator output spikes - Add manual overwrite in FPGA for hardware that is usually handled by sweep control - Use static hardware configuration for generator (no sweep active anymore) --- Documentation/DeveloperInfo/FPGA_protocol.pdf | Bin 161955 -> 164479 bytes Documentation/DeveloperInfo/FPGA_protocol.tex | 37 +++++++- FPGA/VNA/SPIConfig.vhd | 9 ++ FPGA/VNA/VNA.gise | 14 +-- FPGA/VNA/top.bin | Bin 341436 -> 341712 bytes FPGA/VNA/top.vhd | 36 ++++--- Software/VNA_embedded/Application/App.cpp | 1 + .../Application/Drivers/FPGA/FPGA.cpp | 21 +++++ .../Application/Drivers/FPGA/FPGA.hpp | 4 + .../VNA_embedded/Application/Generator.cpp | 88 ++++++++---------- .../VNA_embedded/Application/Hardware.cpp | 28 +++++- .../VNA_embedded/Application/Hardware.hpp | 3 + 12 files changed, 169 insertions(+), 72 deletions(-) diff --git a/Documentation/DeveloperInfo/FPGA_protocol.pdf b/Documentation/DeveloperInfo/FPGA_protocol.pdf index c30225d33bd3b7e2fc5449d7948ecb86ab06b771..e95fab75b9cdea4dc6742f32ecf0377ac7a19c33 100644 GIT binary patch delta 69916 zcmZU)1yqz_`zI{jJ-~o;42}Q4a!hnWyrIH8s$9eSi4TojOvw%>0P};`7pwv}#`i{ND zOFpy3H(Y)C)Xr;z!yLP->(LmrqnL|f1}(a(sLa;X&IOJ?w`dk__-raB@N78b#BDB> z_-6}k96s_66pX4=o*CM^^OKEhWeHTmADY3tJBned$tF&Gbqzgt5Crykiz4Pw z7i!2p-_|u?)>+|2SmDtLp-t{?c&Kk!n?cF)R%je$${v%0_dFbACEc&Zlzr}P?Xl5# z!^W0Fy68+W-p>C>x(@egvxT~Px)s8MdBtgB9_+>G#$2--6cQfAe>oB(Rp*aQQH;9d z1N^x4R3%r1Ph_)s!T;z>qSVw&aux9ZpA-XO_s)aj0^$mUp0fI#Ee) zwf4;E0OX&nxn&xgF-FQ-VH|JsCqm}+0?TFx^}pHf9X#V9?(!jK+2J0ns*}`xJE!G= z!y+znq$u+~%d_{_g9m>>^xmHl*%?Ywa97CN|2^P@fsB8BH!Q+);Vc5tn}%&Q7ajj8 zPQe#=SAys|WS^%1a)#0zrzELJ)~DcmD=0+fWT+>>?}z$H&88%w(y^CFX>*?nmT^Seo4yrWOar8!IUIA2A2JxC}?TQ;CpWn{qNUU;1W8t zF?8?zLJ&P3B;bEE6+!Z*4V)Ckx(f1`iRu5O$5cUyj4(IY z;6V_T?+Apj!3X_TSMY;u`+xvOyKYe(V%|SDuWvrB)I|dNmh>`ufNt>vq<{RFZKQH( zQyuxebh$WHEWFeaB?_j^d^$dMLP^^@&hYCayFD^a4Q<`W^##K(x{<$zsL4dh`N^-<$nFaQ6aZI{Q3Gx<_s-rS0`Z|;A3!ur8F{7D5)ES&i0 zj&FTdAYSkgg1tN3A<5l=1PUrZUjM$?21ThClOJ#%A+%p3!ysmT0Du!FTe|gH=EF(^ zLYy$15Fcm+jGKbt;+s)e@C&s#95t+rqn*l=SW_540e6dA@C(31ynhiK72Y2%E+WMw z95gE3-kI=Uq-Y`^x zUO~JN=OzCWDq(%yt*GFaDFg=}T{X}a)`q-x7f2*`t>Z#WExViCJE3Gs&xGG3`ZOFO zcYEIKTU3944>;RqhEuZ~z2$}W*#4Z+eG_k5H^Q-E4m<;6dR$NzFwI$l?dPjcWm7(k zcRm_2HsAMDL(b*UEk9Yfx-~lEapS{rC4FFSoT1%9f%ckEaYiRr+0*g{*CdDU1Nf;XRk4>!$e!Xk^j7r zBp>wt3`{&CnL@V}oTh>s!lgGmA^{eUPjf{m0SlGRgb@bZ9=wqg(IitMbFFt&x?VdF zU?CI&YauL}_>2k=n(h_n$*{&P;E>8+@kU0j>=OUUw@5Bws3GnB2}6|Ew>?zupHm^Bpf0IkVJg7 z%wQ9nrs6b>;CO{;gm@$4p-bKrLdPe$I3Vv1N$ARfG_P4uZZoI3{y6XF55Wcm`T~&u z8U4jN0sA9nBJG;%HRc5MGtCjnU{MtHgRrv3x-e`;>L$7DuQAiJtoaFkc&pM+aOwhg z2KZUIO?v!&SH#$sp6{+E=XI86a46CR;9lf>-J73Yh--g~y{CD4h=-oO{o{MN`Mhq2 zr0VtMGR+Zd$CIAwri?NG6=!Sn`OnwRJFQNi+fOe|(@}YG(%DtU7ipJFy#%#l!hg!J zTgTI>O(ZjT15u!-ss4U~CWb%e$M?go8!;gC)iZqC-G5J-xSAHntG{zz;s4pbbo}C^ zzju5w5-wzXbGnWa@0$GPOF5y;aKOhDUKXy~!2Xm)JrSYhklhI;;}kh|LXNn4vCssOQ~E(JVk zi7;H!!IO!tlbagiV`-1Vlb$K@nMOG*HAbG*KV8Dmj+vY-)T0Kf5KdH6o8tve;ULuJ zENx#X2|v*`?C24VXE79XJ9%m|D(;6!Xz7k+9CBt-oO~Dv?yAuP%@bmdSeE0;C;W!V zF(dV7X%lmPF109eYcc0r89M@f#xM1w-lI!@XYoz5wIinj>D{qU{*XQ-HL*>+$S?u4|v$Wk z5HIq|s)VuR?;zVpZNcMbJ6I$|E-&tefu=v3T6c(yMx7O#O_{9HBp;4A28W<wXy$vmjvV#R0Wh_f$WQnf&A;Wz6D?*^wS|EizZN^ytDx{Po`CUDXp9t z=+12du(MDm30dqJ=pA zh_ckx7&d9}5(bQ`RG?iiCm)>f&IuTUda)Zd?s3hSqQX zNuX$w(G-%~W>Q@Zk2~gU(7Mv9)flhF-9t9#pNN67A-PgknKm^!d^?9RxIZ`-4Qz_1x=`aDp&-j&jT$Y$xuY()H9dhBa9^#-rhXnIDm&Od(nv6-Y zV$$o<{+|t}(wI{%?$>d`I&oZV9+3OP!6^BT$Wq6PPHR>0*43q%3nc&8nBb!n$fT%B$F-*DyVi)c41o zTcODU*hl|@X+5e!-`s2gKRX+sMmMS7z&U^LE^{#SZ!`^PDhYf!2dhE>=fsvLdWzbg zZB0k%DnIt1re?ybQd^TzM5Y^K0My2el>}j-H%VR!CQ_Q%Y=NnTpa|PAkJ1&$OG&8} zS-PBXryqNm(#+qzhEIa6e}Ee6xIQOxRTzD8UEy<~?q?WD8$%Jc86KtUx$QiM+Uxp{!q&eBH7ySoW7rmIHi}qX1QQ{!8wFM$*^lO5 zIxH$EBIo*US1nzi87IwJPy@I!85?!}bfU4ZIvUO4b7~OD@oKbWHcX~Cl%8F4TCU;z zykX630QN-#JjGV^xkjN+_| zrL?L}_~5}ALj~~VTdgQw%2G0e-9ybB>CH1p`8gxexKbj!;;SbXBofe+z>=9O+24Vs z$R7U%T~fh7<={x@e^CA~RDbk;QNAO<(iZ|y>9PWtuU8Sf0Wc6lH`K7kuL)ZN%%frcO5{&U#r?P?b2)F#I|I@^&0iy>{@4IY zF82Qy!5x9j_Xw3TT@CUjAu%nN91hKrl3pM#*9~iPA5ht%S>x0KMFb4iy2@NBIZSh+g z&8$>&%Ss{_rNF4QXbzA{99Rx(KO+=SLu`7YCoue;C&3(Fv&rZP^58gFT7N_}0y|5) zP!|Wsz@J=D*o>^Nh^7%(F?>CswS6yWemveQ2xxI2&V1XONF+J*59w0p(a~S0udH_W8@()XQqKHFmfeKq8uinnZ;wSN2Fw?x*fG7bARBA>6XHW)w88Ewaq8f8CVPhdKhkrxD=lu|%r_-+`?ZDyjL zQ9KMH@RB$9L2#T&A3%-l0!kRror%&K5q*E1UeWr5(xyzwY^E=}7zExpZ4zS_0x?BWaUDWZ-@+OQEm-f$Afujt9fRZe?84W|L**3%^q>^ zq=2{gG1%UHAU40K^@0zc9+@JZ9LJk~dPK#F_=GL`@-O@f^C<)s!;m;upcey9!*Kb6 zKe%@f_18cW8B{kluZQ=N*9i`E_-J?rfrs`NAkrRZlnK=RB>>%Vp?^YX{UufZC8Rj| z*#|*c|16zm|9y&D(+ax;^!R2dKwbs4FsE=R08gci{Z7$E0`~y$?k-xnYo7R#UY

I8tt5`OB>kSM~( zA>b>iIFza%q<=9}zIG5}*KX!K<^gp#Oj6%U*nS&WnauFw34o=H;w_s^{}S9JJ)oPoFf;_Y`c4g1jW5J{fStM2-%8K zKY;m|BrpEPVy_v?uxOBax|XvI)Gs;cm@w2d=wY>*x^qlYM=EH-wJW zi_d*vJPbXeKkBikXqCJ*rwF6b?w97)(D`%g;r(L5#jFBuZW4LmF3pQ#tStM+iNpN- zk5{Nq(UsQ(FU>)(ld1UQ)KOxC2F{h~J28Oo+vJyYRQ3=>{29wTT06 z8(J==#HW`uK{@^83oLFeaC*Bh1DXJ?C1AE#D+W3zAKfmfEI5iLo}!_d9Ooq1#gMxn zN;L+mlpiVGI_5d110kxZt0@ag*upCdN~42QG?bF#)Uw_utH3r2HQ{2hc`?IGadI&( zY)N>IQ7h26j{%H>xC&rp!QqcEiaeTl=&N$#D<$cFQB-Q>83pt(3!s4cVsqy~m=Ay! zg(jsi%PnRe4WlC_AxDpzEW#=3IIk3l5ET!vh_Fe;z-`U|vw;4*i@Pm`j^dRyR$G%QI~4Aqx^F+leQS!KYs?UOtI&1S{&q2Hrg z7w={Hn4SI|Hb0YLHcpJ2!@n(oTd0fY;x`vKsGSqintZ+@8OOt;urbE=0TO7jtagKfy zOEN#|9JYHJY<{yF97n!zxfu2M^QPPX<;u?m8~bNo0^^ZbFLB3n-P*3}Z*AW-S69D= z&AS1Q=IvJHKf2w<9pU)4=~Oe^I0erWNTR$>%_B!o4ofTJKEoLwYl8>GfHeTFjnu;;Eu_f{#idksT-5p@4_&&8fJrs>N^Yin>o$gy5ff5F< z8UJY{(zf0{%U}DOh^hg8q0iftY!o5^eLq8S7f{6+iI)ke6YW=|KtW7<$KNUq^^)k1j`j8m5DhBk6 zu`rEO5TivQ$DFzfAT^@*nq4PeRO!wA-e54L=^*Jl22TPF`)=_{wTuk-HUM&eu?)N& zoTrpm11W1hDVXinLcn_v^lKoc&ZVFN9f^;gYU6jf31p{_TU-Hs4=NW|vMauWz4AhA zZ2Xl0G~yIZLJW{cCXNEph4OkjV-+)?>ut@5!V$i232Hh2y7|w0_*YZd=PV<=R@qNe zEPc7q*gUf8FXWTqwKy-LZf|Kc9Uuc)Xtby~ex!C-B5wwYQs2yf%xoO-im+6YngSzP zV&>*H78Rga$GuqrktLqw#r6z}ws|2g)rS8f(YM4D4^4Q-K4x z+6tzqLsUlB^$VoXWDMf%88U=`wLHlvLn&_I>gZ!kNFVG{OiUIu;|m8(_EWJaO9Ah} z^r;$S?*-@`?P8NOBbY>C3DB2;0!m799;oygIq`f=!)167Z-ZKMjt?A$$L??du9HwK z5~i|!hZ<=pHV3P1#jsj%kPcY8U|$?uqOzY5^!t0?x_%KNH7)&~4|%xkuENh9Ft|Wv zC&A1`bZf@eDLfHKyy2X|#GgtiN&z4!-1HKLb2k&Rvkk)?A?5Kh__9|iuAueT;0?X2 zeYhI>81OYV)%(y$2LQe9F||aNsRA58<3tLJ1o|4|oti>g1%I_<O$+!0VHS_>`!pWPxwPgx>xO99n1i@Uk z+DA+#&-E=ZiD*3T;;c97AL1T>d=C-~3YKsDF&n60sL;ta8Pu+t+oe^;@J|=ZFU}8I zjx_O#%&jwu|jS#;U&Hl~nZZMcr{1 z)BSDix}V0_8pvwqPZ$MM>RDP(Zy}LTW1^>Q1lJdzkkfz4ez40d!f^E?Dj@X?6!WwW zNAz4}@^_{P*$6!bREzZuE*1M5`xmj(KYR#>|89|&pR@m1@aW=(>j8`?huYbo#4@uw zD7wGdhknIDz+*kVy4=Nw#_OObcv9QF8O}I4S$z8?j5pUAN0~t+`bG2jihi^N_m>G`iULhEH|C+tVb|}{Qiu~6) zkMa7jr2+CKh&-*rl=^G3?(vqXVdNc1yPA3M zEP{0oLE*|vmzaOAFvv|an!=s4}~+eK1-+p6tx?c~F#DO!9=4{3_LFoctro`*RNwW5LF+t>@t%e#U<> z(CGHV_WzaH*}YLciD5Nut36Sg6nC|EZQWqOTRmpteklI38pgMJhN_29^62L%1Y)gP zK&CYvz6R_^l?e|T0a(igVY5gsEEeCXQ$ys^idEa zu9+=YA>?y}n2X=m#eM15_zN+qxB5V}HyAPJVB`#&?WfO-I=>FY7(D6`H9Da|UokiG z>^`GCJRv5!HZYLYgn{}WleKK|_Yj7_?6|J16xb9=ZFVxqJPOyB4u zz5@gbs0DMoW+TM@a>imnNMj*^s!)H~aFYsRC*+5dP`-Ga5Ie%S?$^%-cy=!Q<6Z6R z4OUJXaB)uIBMBQquGXYVkV0Hr6}>Kd@Hu~%6d`_EN`&P-nzMRH+lAQLd)O8!OmPT> zyKJyqi{`?rJ%8+ji;-a62Ew5gnwF@d=}&rS*c2Z`kjt)lwe)aro?2Z&|NV1)*L2%9 zn!haNFu3maTWm-;c4UyjD!a&nLXy;IOX3Zd`Q@e=)JEZx3)i(@eCAA@oI}hn6P#av zLUDEpMDv7wPm+X;`rcq`1m)uH?zJSJ_FZP4n%?1OmkQ7??*)Y0$jA%kPQ)RX>gdYh z*1;W?65!+va8ym&80darhPrG3;y-W&!ZS>ra?D?A-Jf~uQ;YdsoaK>Y^>`|v*w~K+ z+Ei<32-b0o=$qn|mN3oTX1qC`K8{e!EygxKKKp2UwecmmIi6xD+ywP-@_3b60xpG< z*}}cJ&X0CQ@58PI0vb4ufVC%;icp0j#f5nv)_J;#X>94Q2oleMCOYTr$hWEew`b)s zSog^`yuPJBGUFQpPs25jj6k`&D2{?Wp^K2ZE@S&Z<_B|nD!P0>0E+@zLj@S2SeW5J z^ZU6RBzFVb8ukQ0Iq>cBV|nEA$C7&_h(u+=Mq;7hD*4nJIw%L?Irj+9 zM6D%vDW?_&eZn?(h)r2d#M=}6GCEe5X@!s@%ut}IbQ$qJ>;~K1HWqJUk2L<&cOt^D zVeTHDX;<_6FF7^x+)cd?v`D)syS8K?F95GK0*bsh%r9dfuLxa_Vt7rTN!;|0z093R zhCoKOlS@XZI(U>8o-j!`rh-QXzMDceB0G%wD+f9>KUAH1l2+OsU!=O8N5M+A*YYZF z3N0^G+AIFWU+07{|BZj|-dB?@LoX7zA`-mr-x%0P`sz;nA^&&Xm^m}Q zOcBG#5RPJC)+?hMWJy|oRkCN&q{ivLcAzk6Y9JC>xBUT(*ii#du+zL}YN)FCS)A6H z!a(a6{b2O(9fj++((204hvV9><^3k^6}zUIymATRYGK*Qbu?PX_*F4e<^hqtWC9FW z7|``guKuj!C7!{H2D>W7dp_%;$l$!wut1EewBTBu=v$IZHfJh)xsKbj0*tgB2k&qFE2p2PCf@dTb9)oekOi`C;3A-+Xwd_1DSnTMt({M%!=O zR_<_SUKSML1@}vUA2FuB2A3QEExs2Bh1Dv3m z{p&p)7b4vl3~cEaST7<=e?6hBTfSE>=!6Fp=$9#aUrSu#Z(OFUvLC*>qfbwtjyrVP zZaVsrTT(cyW`;XOl}9JPuyangeH-n^@hTWDR@uuh$+=rs9Ye*Jf@J^JO+zDNGCAQ? z)h>7xg2XBp4JV@BxLZ;b^3%j%j|xNKI9acy_zPWC3r;ScT5v@u|;ikM2MmRPowdK=>{!`FaE_FkauN`Mk-rPDnAv~Ks)I*y8&WLi+7S%Gz+x_MPx^2X^o4z=%O%u-s6y}Z^ zorgm(6&p2*Df#eSNMl1|`sG%Nknzl{3aWX%JOzz=KKvc0a7XFB5}FlUqxp=Z!WbBB z&Cd2jPSh=tHGzZ_-P|ZIjURuWmZD~UX$qA)L?bZ%Ii)hUtbkS?Wmy;mo5qqnG zN-`1WvMw8WhX2;RjZh6&K1@TcEfMJpGY~QnZ|ZXBy#A0nOWKy#T_?c4CFaV=>*SS; zN_$-T*crB-@b2`ZHUkJ%JA;N5N9DnVIV-qcq@T2)*V-B{;QPzdLs;W&28w z7Za9B*fnKJ{d8Jxkf8*uwdi{8!pfuVlKp<9{fq^?-@wC4B}&ZeD&q*n1?&xC-ddeanN)sOYzFoR0F@ z_Kl5Qg7cf1EjUq=D_Qk5nn`;e&}WJ_C^T>2^UXWvroLLQWg&_s90?&h3mg$BSP^q^ zKCW{GLyfz9@0R&;CYfs1I;<-f* zU5Bo17&+BCd>!^>fZHqgU8!#f(;u`ZH@#(rdrk_l?(f zF9?U>UZ>y9U1wiR841C@dNX}qa90K2(~l9d%(rd7^Ydm*3oDrn|Z!_=uY#L-@*k4h`SD)ykDjr2Z(nnTL>LiV>GVsD5QWC$|uNw z8afIalz&UdgaA95;^bw@BZ`{cX0tnv4rg~OE4_sxap;xlLyb1+R1ErcWMuiNnf3=!a%gl1(Q3$d7tnzgKe<(Y(alxjsi2|@)Wemb67h-H zoue|2>1tJ3k%E4T6?jrohvg>#$P=PWoWBGe#?@EKFG{2fg%O$q{yuVo219ec=Tl;; zf$5vvW7HA&66f_PtMuqs--OqAq{o~oT+P7~pTTXYUC0Yn{Kf(qc0&=>*A>+x9VukUeuk`tJ_BV*d3 zgQeG0La!mGU6gPrC`}BO#T%2Aqh_Mw$&ei^&j9EP@ur&0__q+OQ^b%N#5px&1kOQC zXz+r5cq3*B4x=(8PI61YBV$R+00kiLZ8+j#Z+kJ?odM~8T7BfIIY9HmuaMQxLqN(w zzK#GAx=Pr|%AsZOEaj-umgG0u$_^W#prdTURiF|kx-7*d2HThyl`2o#6*AMylpeyy zM5;t*_8Lz)5q;_F;5(HfNk^VFXzzwPZeNn+GrIem5TRUbpEcwq?`G_ErY+%#NCylS z`7Qv}-yrT|$wB@I=iQ3PhQWZC1ZN$)NyD4F&vtWNv7P?5K+w<44% zYKf=)?MEtXyL$}Exu7$DVMK;(c5Y(o(q`kYfmGx+z1V=JolAFn%dXYcHlpS}wzt$V z;p^ppO^{7(Vp>mUw3dh9rw0lTfBnvGQ6awjLTg;_CGQ*d5V(By zw-q_0B7Yka$40T|Sjei_ZdigCkrp5O>rZ~wCw}JUEZ-uI({eQ39ZJEhx(}mWBuP$H zmk6z`W+$t{j!okn>p$_|)xDL{?SLs^ifArEX8)!>Hq?*79~LH6LU(43U|mhGnD7WqpN)hjqQW&HN?r}x75A=PxKd$p3SUp>D{w}ZKfi83@M)?T23a? zRZ`x~z=?O9J-@@AWg+94O5@8Y?pXVJj<>a$XW;%psrcB-^h=3R+99ZJ3r;fYVI8L>CZOPj_u2g00{zf%Fu-1LyiEmrtC{}Fv+Qh>urRK;H{G#- zV+r(-VhKoz7|z6U7x49a-+AyW`kC)W(PV7&6T5xa8ODAZ+le(4-C{AHH_r5%VJWK6 zA!31=6DeudVEb@t$uoZ3)e4!*s7=pyEsCqp4XQk{d$tuN>6@@>6dajT_N-sK=ul?Q zR?}O>6x}~qT-0Cp4Ii%cHMYOtt=cpKx!BkTy4ZynEqe(0dq0LD^$x(z;iRY}xp=My ziShlUz4?3>`WDo`>w;F)|IhGa82VD^G7lRRuYhpkjY%e>slzjF*mpIed4xn%?&BzN z*K8VmJax^w@{pcN8l__5d7?e4BK$;DO7<_aC*dHepPFHEHAR7iHq4b4D%6Ew>?ECt zKjonkp<9a7b4uC~O`)l10+8=a6}VTXpfEXC_OOVIa$GgSklRn01i=z2Xkl`wiXbWI zU=V=DU1;tX8Pk4>X2hR5G;};3J?c4hK?!tm>WX5C!Eky)niCFmJned`;s=-_a{(Tj z=?Eb5S5!kH^3V5hRiScpQp$Ld<&iXa`e;0JZ^)UbQgI_g%Zuft$Q9`3W)km?-osTC zD-Fsj&qOL|M)qEtc59V}<_D@v(Bnf3)tM6|ZKP}k=WfWD@>3RIg8-4@iR#hLE!c(v zg5lNWQzPOP#-#pqSf{D&}HK|75M}Oi|}ns{{H7~MU@JHWfX&|(c?2>chS7) zV1}IipjuTl^(bN(1p@q>-VH5QC{)?w?okcs1lsw6)2$_@}BLUCd$+vHnFUwSuWF(?sM>BTfonCPL=AUb>1FY zKLkHz=@NNpR{CF>(bFdJ~ zyod~T@Jn(r`$)_8$Kqr0UcJL{Ln zr;aFFv4K~`2w2_Wo(N?I#2EjC=}90JCZa;4eenE>??&DUtOQA*G!}%Io1B?&w^gtB zs&k5fGV@ZDR2525K$-Rd4zr9a4H67}?p{Uh(51}wjVn*V=7;HJG!Vw}-wBE%OOV=I z3;b$6Mz?N1s3kX)JXl{D#emBezhvm0wk6&Ds=DuH+S2uUO{%V33dQ1I>*pR^X0}g! ze}D@#qg0Hx6tZ{mT=@700lGLyc1pqhyN`?5${ddvJLEOGqw1L4@Oim{At!USRnghE zr9!gQ_=nBrn@NOgJE`Q%*%4iRC{7DL1jP+HN2^a~YoLB;n*Ik)Her)5?7}NOYv~ zG;@At`%>AusddDy{@1@<^N$gB-@UI?G5%hoOrKvbE09^iDcAF)9ln&P=hoG|iv`7; z%ZQJG9)a>6I^C1BDq!&RIH5$D&ow(^ht)cHZR_#(EL;;#+xv;$hF+^R%$UzA zTT}cZMK+`3GXQ~u`IyqfRx>ggj(gt*20O0WaNfqs-TFy-*#S3F{r#d9C3jxH7m_R@ z-FNa9?k&q^#m#yG=eqZ?Q0>;+lN%)Aranh?cC`BK3jD5`V6fqZ&^I=qPAIvK*S4zR zS2ng-C?@8`(@-~K9I4hqYXPae4he~Q%Cq-<2ntD8sIoGrh1Rlf*IB&29??zHuz~fn zkzI^MVc$yR#1FOh&RGIL>pN@-=TnLcvk}R&aQ`5Vj9EaA+sIh!`tbDBd2IY~k;(s_UCY0G|Z9WqF!8)N7>l0+y z82IvyL}N9Vbhpudf=FR~e98~W$(H9d)*3ly#Ssp>+CzW&q{TWrbyafAUV=XBfh9-M z$0;X`*NSM?Fn90Pv8N8Y{q(U^*7(OU-=BL%jX${}^@eZA{BG~cOyfV|b0DVOA}&!F zq(Ob8w40T)DRWKZFp6?HJd+ICSF@W#p{jREfhcKwVi%Cv+fk8nNt?25V zec?XUfpW^Xx8&{wCHT}R=p>eWYGV+=CkCj3^y|xu*U|}A{_l#XYrwHklMeR!Leqnw z1hazHzEG1UEF(eV6F~`C$!oO_JHlUkWdG^GkpHRvi~JFq5vcx(EP6#2!;l*P50b0` z<^a{)w*bSHY%nOadk^mNsWF3LXS@0anq@G)B64Wg<~@boM}+rQACah)`w&*@TwZE+x?RzlB& z#0XtzQMmMAmTW{sB!ruJw7Ax2|E7)t(lDq43Ns-4$Dij-(vrwhRN0i8u)6!^D9he!ymDx+NN*)98>Y*^|>2G-$l|+36MwM&VO;=^6 z#8XTbl##0L9YyN&Q&^4A{X7e%F{4*tTRLzZlO5zNj>E%aNpz`a`=TjIlSb_x8lf9W zfc#&m6@@db44M^PI?#B<=7`eLFi=v{@Xkr{LwZ33c;k0?Uv5KYN1|Tcpt?F7KdoTF z)ny|i2<6Vr4Mi*bVA)Q31ZYa3Q^RG_gH4+Cvo(^AbJ^>p@Z#896a;%WNT~z)x^7>@ zH79<1M@5N959Jk_sjAh#)RgPa_x>tW5C==8n43L2#F65&)H!Das_;^!9lHMU(v8G5 zgO^zSsGBvj8)8Z?5rGCpwUji?B=Yp2_&a}qiq%n{G!JDlxpP``co$eSHe%UeMRT<6rO}Jy=cT0nk9lUiHvN7 zZz}LVBp(<_^rse$1-0lGgYPU{8vK5PRqsbk{X|#2bUx2m?G6~ia=>UIh9nZQ5$-S= z15nR3@hf+cIbsKT3>nNB%heM24E@<{a)oa9FX;>e&m50UU(^3K-W%UvB&;>B|AXpo zjpWm1oh{P%?S!L_)6_`uLstbK-d%vj17%<+`WysKw!oytF=JsFO}Y*`|$>;+Qy&ppJRDR5DUup<$eOIWNPZA&}T zDp2SGa1r6BCHW7|_NsHb{M3J_!o3(z4Njy<8)|V?X_;oNE}kbFTjhHT*oCQ%N$@mh zJ`1{r8@IlwV)sFJrJ%~xqyid+BIG%;04K5+;-2n~+*!L&0)vHLEfvnx9%p!y88*8SnWf}`Kk$~b^s*9AyRS$$VlbhJO zQKte&5OtW}3iXE4jM4cjk?X-e9A3lbfIVL@KXr!0GB+(DK$r?4g(!=O?)eh#;pIwt z-0Ja#N$P->QOSbOoT-MTah1`b?&K1cyL5|fTa2-+%mOoy!4dCyU^bN)Va?=$NrkK? zZ6qj2v`*$IP#L{9mUx3X6HGGj zZrk$8b`?rUetN@ve6AzDR*u7_Cvc9#j$0u%q=7@12jPB$3icgL3QgI?AoOPPVA$Im z4kOBw$~G8PD5ZzuQu#gvkzZd&t1O<%fl$xE^zX#zFZ1@D(O3thqtcqMk#Vh;MtM0_ z9!lwE%l`{RADY~CK54}KAw_*Ml&Mnx-_%1IH)d(UyZSJ|M3+Ves-y4%!z?l#r*5Zre@_l;Vx`us+HU-ZoQ>e{ymv_d?)ewqenSJwucKj392#jm#FkBa=f z5ZykV0-}yc49Ykx!X>BVictFWIBaafS`&VDJIQED*h5E?9qx+&Tw#cm;>N-&gE~82^8Jvb(l=?1cl)kYHyLF)%)RSq#S^!w9pwwxI6Vi7{qg2f@ zOr^XVb&wIfO(=N<{R%|j31dkMK@ZTu9O^W)DF+$UV`^}GGoxD~G>?F>T;%#LqcXj8 zVuG^z3CG2edRRXHFa#sp!t1b(gnrhE8SF5d5H^EVk~ce^Lvk=@4*wt)ZINIWUJeOF zJX13RutyAPvq;5%Oo-c$8@}q2+T!c5BHY68Pza3h-hc9|t_HARq}{Ae&I1F7#R85i ze&1nB5dz+isPOC;e)sqpC?G$LeNAO8bY>r(dtTQ_es|O`P}vdmHhN;!R6MD5ppZb> zHvAwkI0C=k0~q4wBg8rmyM_nXOflz=&+AwTqL>Km!krB-Z#;B~(4_%U%>%%4v@>WO z8Nil_z&hMnj$p-9j{$(kw1mBv&rmne0AZqmRFeK1T8n@N+XkFSq{%w^G^iYF2hmGHhG3_ewa(dD zRIXvYSTsv1OIweHGPoA_Ymh>PtA>7fURc)QQ7;Pge!V85}nL7*) z2AtBC8eBJq0XNtwnEvSF;hg%HIG5ISa|-v(M+JKAvA}SbsnqD7VYp5sn?f|DT1?zE zJv+q#xlsSr7nz)u<7CopbLsybMVSP0WTowU>?+@Rgxv zZdXz`*spE|Hia)q;oS>u;obRt=z5l4{-P&Q$17S>K1Q((iru?=v`t|zukH#889+(H ztK7y<1?`**EPD3@b3W=Pvmo5;7~|1w9V*Z&@3_J?cbIgBR~gGBchF+0IXWAW>5oaa z(o^LfkAwW+MCp959u_Q~u-()kD7qDqso6VrWE=EpmJz^HH89Gv3s?@auZ3MYO=e76 ze-tE@cl|6gf@=tA>$iv{xx*e(CDDhqCt%Zqxd8a5gU#&q0Sved1VfC?f-0{5Kfc~N ztc&377pA+ryFt2&cvh!);I7fiNE;Em!RPIV7(De>@4neV?EcX- zH%}n4XbA+p@(Kn7pZg3nGw)8{bADegKqlSz4F9oR=Ke8<7~M(>w@%m~h0UBO+4kuu zMw#eWMV5Ai1F3-!B?)hr*Rr8+{s0Lv_PG$=} zs=>e0zVYS|uRMc$+6Wdn;TPz>mGSeHoFS zvo-GW?0i!BK4fvm%^SEm^qQo3Gk;r-Y1-jNS$DsiAr9UOSw^I(sc-QPc-w2(be$uO zznGVovA8upzW?nRVMfLOl~<)C29WO@tNh&Wc?C39Lc26MUB9coAr@EZI7NKM?cxyO@O*3#`YYyh5O`wtC!%tPvI*5G z)9jc~6W64b@L=XxvdhSGBG9urygKb(h0TMe!sMPf&C)8wW= zYR|r+1!){s+HhGX;tYc2JirCK6TrkRHj6Aa=p)*m#V>QVD?JHhvHN|>;IHyyIzn<< z(Z%llTTQQR2%k${$2V+_D(G0paBNjS7VK{ogw-n@swPb=7A$(=qc*JQ-YU`@_v7W1 zpQY+&98I54m*?s(Ch}!2%PE>fq$RH)*#(CgVbT}*Dsgr~{Ge`KD|pdjL=QBt5{ywFRnn&@Sn zr2!r@&=4A$O?5OZ1=c>b4v4UPk_$;zVC}R%g%NKb(m)#%M$-rOK=upHK^~0!aM7wF zf+fgP@oad}NIHP>jLc<~l+{@1id-P_<8$;vd=*IM0=vopH-b@GlTQYvS>~lV-@+ni3r;&*!qx zHM^^KJ+Lz5t=XqPuyRvHz0fM%<;&7-WznTxTjT|v%E~HG9MC&i*hX86vmM$!ik)t5 zbDwj!gt~=x1a=;_y|)FHf#)0Qp(uZG7mhM}x_ZuSK~yy7`9`VVx19rDJp^rrl0B-I zbZ^c~TF$W9_qI8{a({VHH;~umBuF7~Ybu|e{C@exSnqeZ6N~SB1oo4I`1cSFIdo|$ z;@EqD?{4GSn25)0W$DX!K<7erQ}cnUbsl1Kz~$JZqx&W4!Wt%q?-AMG%Wc~I6X7jm zsG8*?MU}}nXS;={k|pL#PD@Ia_9RPRFQ7fQ&@kTYqB?&@bSG|^kGU#f(rGnz>2lsk zy>)&bUzhsL4!_42#{K?nbA8F-GsF?biis=;=tYusAtZ!+?pD-6V0pz?IbQFw==fUR z^PyMqcY&(^?X^uQ4qr|9djYA#!FST#Pzp2OwY3oqZXFNwWYE#_u273HHrt%(rgZ%n z>`HE*4mlniT`f#VjepINfv+Lkn>{k&*K$HeKF-34>1#LPJ8X;7F*tLUv_vI@99LPV z0PP(bHWq)LeyA6khm~8%p?@*fOg}UG_B@8FENlf^PcyGp{TT8^30`KZkFm^Hswigk zm!=e5f?^~d-n5puoYbZoy$w;>ivP8+tFAVol=u%_R%lAIoiZ1|n0Mx3UTWm_LSMYY zsh{SkkH*v5fbP}zv~gM+SubO~BBe|ZBp_!jI)p9{UzTybj>b<#&syGAR_LX?bJ822 zN~##9NWq^^GSo~*mQ9Tsk0xSB#-F#UqY>d|9R-UD?pj0a-uBo>*>IwfaC7ioHRxFD!toHf9h#4qOE1GN-Boq zzeoS8nn3K}|Cd4aBmcDj$FHIVA%g!~&_)U@4C`s)qk#V@`Ql})mihKC!2U@h;>*BA zk4FnKfy1tT>w*>w?|ZmHw4*1SQTp*~yVmy=A`X;naMH|8IC2p&;z~C&D@xq7VWq3H zO!LOtm`C{!i2{Dg^)=5<#q^zb=+(T=@N9o=XZb}9_p<5nCSkwh2-qPb{bcpU-RbXK z?ay9lYi-&+y+P`yz>Z%EZSu>HK?3}IG5Md_k$BEj#J;L$(!LoN>CU_cID zxY-f0M;1~4tM~O?fko6UQCg5Ginit(Tq~Px z_Ak#;E<~gp9v?UB-v}RycvN>kN1Sp5K0NuE6)pdTM|{v-JhHC(Ugh4m6?k&pyv%X^ zI+(n5}sjt?PeC0FWQ*Teuf^iuTP>?{X1#$9cp_XYXe zTXT;ZRi_%y(%4^>!i;rhQCt1N+YlbG$}zT^@g;SMeVe5rky`YYpp2G98q(sS0(XzyRl~m{EQFr;2I$ zX)xEdy-(3-Q!+=A2$85r_?);~KF20gejG|bq``(o zYLAbhqnMS)(cKXCOKQ71iro_LUZ+h7GR}!^<<))8<@Uzbo!88}x%e(W+>11|qZUgo zIwzH@>k|6=Gjt&z`&H{7(+SpN2)1fpNDO`*PyE!mCBUFr7#Lfe5GXfsiTd@^%WkD> zw0~ULXp7&E>S^JchQ*DO1YOGz(6bCJV#@ZCz`DD3PDl+On|+9+Vzu=vB7~1~`7@j5 zSL@|$46IVUnlxH%aWo^_A4kV5vT&|Pd}?7t&E2Z}=4qv?|Ad@jEPz2X6$e`WHR|(| zT4ZrsMuYd52P0Y6{?238PW~fVLtf~W_-}EM>6T?_UG@NpaKNM0qAvpIcVHN&;O3Q- ze(%WsLE$1-%x4(7;3JeFyO#p&Co#`|4PE2yp1&>A@)$xizp!Zf;W5Dd*7-*?Y$c>b z+bM*Pb|E#?h+!mBMJ*-4lG#)a!_);IsTA4m6lhhkd8`vdK2jmGTbn1U<$=3t4e3ZF zb_?@JHA+sffr|_1q7!m(%HS5E3eo+-0$-IU$Q0^_`zeLMY>$+@6#|do5MoRn5k?ZC z<5~(MP%jctk|+($pL?i8EpsQEDTaH8A*vtn0)X;>-S@w~ z5wFd``6ibo7e>=SQgl@((uPPl7u(eeCP6eu*|R zJgm^;d;GpO*+TYO;}7fOJlBak?mP5XIqlkYen9_Sp1@IV=Rkdi&lL`(-*o(axyIgW z;X~C7=he=rXDrFTxqksDmJwP=%GYp4SgwCh)&VB-FK-_PT!c_1GpWRN-2c(o$%zfUp#n0lm{yh?35OZv$396&Sp1W`!>73?=7jRk zX+{rl7Q%)n_hvMF9SynOr~bp~H4Nt}2iYmK+;{uho0giviDjUk=7y2q8jH)LH}WmU z0zi#4+TXpmBakDzBJcD^r+-3K+EU|=2X|~v?DO-ZbtmTe zk%J6z(VOF~u2L9ybW!!Yv-Hmx5V3?M9AOpe-tTN;aBl}{cX6ZhbFp6$r`3dL)p&)Z z;3@P^j;{~UX@#h~N6F8{EfvJC3DE{AK+0MqH+KT=->|ssKX?*CjK;1k=3BomX4T%) zq|y}peXaG8`o}F?Db%O}vUU=SIee-8F&=AhQh`4K`24*VM4iRlO|5NHCtpdaca~Xc zl&WldTnxnoo1g2RhKcbjixzx^HU9Tc=CZX?$t52u@CI1N84TbxekkcxpcwSBWCJ3D z(a5_#D}SvF3YoQ_Q`~Xri(z!NP@2> z9gkLtA-M=?)KC{$7Max$-klNP5sze)`(ZW6hm)*U1NNhvrj=U5a(S_>R|G7nj%<&ZfdAO*^$FM3>h)8b36%aA#a17{1Wb5ubyq~>Ek03+8bZZljVjtSRq9aZ(ya3*;XGL`T_sl zyJa>8sa(XoCpQ>nETh2t4VRy30OmZtrdMwbev8Y+A)jeS_-f#r789nMQ5pf%Xf&T0 zt-!NCw+h*BH$u2R{7(3>EB)YWy^~2|ctqB#UL5-6;g9Y{26{i_LX=oIlP^+3>e6S8 zGoSChlMFIrq!!OxjRF97ZY=VtL~e4o=E+NH<~#?yKi`jASMkYY_SN)EkHx}TYaah{ z$UtYVRCgv0zp3C&2SWU9E_NIpW4%AdPiW+dJF$d(&Aex{l`0bxJ!Zlr^Sg#}_iLv% z_)>lNB2D9d^8^Bhs;VHXs_j_nikpxio2ezfV;<{61Vagps|DbO!&H^ZZjqb-}4S%p##=JSrvj$@ocN&7a%ROD`ELr-LD2!#=EJ<34 z6lcnzLe?~Z zDYwkoe1jcsEIp*?ec|1%QZk<2%v#=eLGDZVSJq)~c9rfp6<#CHv88&c*W8zDj&cTZwS;f)Pxf zI)`{g)a!gHt1~be56MM(`a<+7zYbbv*&UFd8PiFrzZ((5Vc4&-PpxrVSZY z<(YTy@6OAdGqj<$ay`J7Y9~kazLz2xZIl{ymJv#6OWH(VqE#}wZnNwj(tkLMWI)bZ z;gGUL8+-{N))g&J(u(-}{VN4{l^;=jI?DuKUz9L|(J!hAY?Z#WfES(74KGblr->eP zYJJ};-R3kkGRc{v+UWdPd@is#xc}0HF4R`V3m8bCnd!_?9T=?A9825K^@cP&{VKpw z(1W9hnSs__eq%q3g4k-SlT?Dqha>YR+B*cN-D(s$Ee=%!=NwHau!Jjw##RPv!)o0M z(5&>RXnykG?4}mm##rz`70bJ^=ffV~WOLzR9W!6J_JZT70MpA7$MdxY`4|#u6)D%Ih{?JQ){a5ZmAR4 zaKjh{Ol*)a1MNUEdJnkET4|=TXlCjK;1|V^-4b@u5XKiKW=O1pwhx(&2ikJ&I1>s; zUeY2Tx?R%p_%-=4msMCw5b~%<$i+;n%^FW!VvVQrY04jo&DCe6=nIx}+`f8Sq$2Um z$@Z|M%_ynLCp^+dkr^I!C*H`P>;+JYx4(vPcvR?leMK0<<%VHSjlXJAGv8|i?3P+m zmr(;`I;F8IzZA2urzFMnZBvnOXYKGfnZMixkO`F}6LprR;Lr{z@wkun(pX%(i%L-> zpO>x~aQ{A|LU!_{>!7 zs;?l08Kg)vMST@Z#-kr1dW-!vxe;!_Mojsa7EbFex?cbbX@oR69Zl}Z=iE3lv~lzB z>yCnHM~nTBsuyp|y7kIb^)e&ttj^T}6tHPU)sqJ`3`e`TCjhSEv@kp)1C}7v1IH8P`T!yGP_PgE*^O(Zfp>|Vyx4Lgsh*JKF#d< zybj5xpac}<3@dYih296hO7LNs?%xY1jaMR$M_Z(s>iw*l>hx<0yK6}dH8dlwRNI`L zSRbYAr^E_73nf>gRi(&3|8mXygNXRFHrS7=aCLSbaS=oApKSZ#@ht4;mg6P#ydFxx zG8GExu*U6>xVjTUcZcawSBQFzac}YR;NAJBR{~=fW6jX-fv#Jum`gX(vZIyiYEi7V zq6M!#AV~bwv)yZ=^U!~O=yEU|@fo_iY-<#_{nO=CKn|mTQ*K%%H6f7Ug}+?MVVO~G zuDSbj_TKyhyBe$j=};Wf$n(tpoh`0jguvqXx4sFEg1qLYSdLfF_ltLTcea-VY0l~q z`@ilVQ+v!` zyJ0}9>`Dw>$0_$-5yoLf+4YPj){b__22ao1GM_p84}jwN_y+U$CoPAi0D?v41Mf0q z%jgE&wZ6Pk>ce7vFt09R^14gF8Rp5{P(RQO(N{#Csf3?>W1OG1MExwG(!JF1riAH6)8BbZy6Ee(EG42UPI8ramT~L0DZG7;+`zYZ?=?+C1{5TQ2|(2tZ56c zKk?$**Q2R2a8rwgzu$MFVOZST9pFa%-Hu32>`Dq192gaI6BjpN^e4;z-6=g~I&wrd z6+?;UCN8-%js@Ps@*_0}jp|2B`h?^bGHyZv=H(L{1%h@hHt4t@W*lR*3x zT*T+B$GJF?i1b(yEW*1+V@unsxqZ4uWZ-)j^FR)jtoOvOb3fNi67Eu{f}WioQchEL zO)&as8>b`ni;XGbmAql^*etN@26 zaoeNJqY<2M3_KYqma$dK>DeosUAAk_iV03SvH+(oXGp&+a-I*;YpXZSQek5<0pkKk zbHFlU+4X_<$tNhNR{%cULL~J_kU03yJ36HK%1oUywEU@$qXFMIt_}()QQ$39hl{fmufbTsl-gR5J zIO1PUVk0(d(q;e^-oLvxW5unj0~l+qivR2oFum>7f11vzBt)!gBGhzhn#d5&o|pZz z;EFRoe%!B0RQ&#$%3YGh7So{1Ka51FX+3hGcx73ss929?W5Qf8&hm5eJ0OFK2A)V_ z4Tr)g>a(HRqU0&%Pk5#X)z|e`_6DqVU)`M+$pD;#1l|ST)!uyFTr=AbO}*ld9cDwVb$UNPkrt{OwfbN&d%o}Q0pL<{vA*PJ))p#9a*ubmwLVJSm9IAtohlNYbz*?${qrPA5 z8csN=miJf^<@{m^`*E+?^;K7`3ULbwkIsnMO|yE06%@(H$H#zSWitW@3U#S9@;m|C zhuQ>eTJxK&rytbI_l%hDQP+$Cl(jf(`M?KUKg$7CYkIpU%+^xZ77$1)jGCQ^X$|&lrE}sggeI4Pq9v>sUCc1+40J zIjD1ViFbDFeO?jXY0ufIUU@vfD!z6BKCkcxcwZbX4?TB1{{7odX!g8U-S1HG?N9$N z>43J&Dw2*IQs8#~Qd@lKfrymvXq-dcB>=d%P(LYtm$&`yEa%4C{bFyZ{Wd0{TP&`i zz1?ivWp$~u`~Gx)dU@z}QQy5h;dk#q9OaMDf&ol%Ad|;C=6C#Zpzr0j^HXm(a8UK+ zGsNM2eK)Nx-kk?b=>$9!lrvO~1+I5@`=1RAa_pywTzc|_VLhLxxo_-Uwlh8p4KC>y zyWc39@NG4IO$AbAp#}9}$pJActogNBNtj`UC+7FXrWy@T?tL^B;${GUo_YiK^qW zpN+!U5jb>tG+$f8qCOf0p{Tz0J>oBcRIU$uLWEMNTJe)(zsfsMybZSZ&|P&FIWa!f zEkR|Zh`@pP4yJD6Fp)SzkKPKd7p%(h&Cbm6!Ly(svxvvDmeFNsocSml07n{)<4w~O z?X@ft<4JH13Q5*1@P$d~L3cjP^ImbM@65uRP6O99`hHbsCL1g9VKzf;ZG?ZjeaD!W zaJ}rxM$U*ruVW#bwu!?+;eyQClK{YzZrz?p}=^V4h5 z!@$ceW#C!%(WFg1MQ;zzj^ggf^YDVg4Arbk^1lG!_8^sDf*AwW&rR!ma*F9(Mp?x!PD70bv#PGIQI3= zbHJjLSW!7{4A2p2!aw*yb9LxoZqa|*&=CG?yTh54_eW=a^&(1~$L7D*@J;GsRvnl8MCM}fo9@NU&ZENz(bD33myUtkh=SMsoBAL1v_F#-%*$b>?i4a= zZ7C|dQHorEEA%7(z#CPJrz>_8z20^dKa9YfYkqx1^y#k0WHiOL=Pg&_=oW0AlU6CI0>~y|J{f7 zKPd3K!%*q(t=D>mxw~hQZf{J!nM@ZLFeFl#OxN9tK&g%mn0$&?fu^~p_aU(!J|D6JBq66Y z*EkXs`?YLI;pEzh2ENF2h|2~9BNA~IG;XFL#R!&c>Q;XS@yKRPl=Hp9^w<)tsRr@r zyi8ymf|S@|tStvPr)52mAryBtuT#P?v@_@2kRebu2A@az!kJYnTHv%1Rl$Z-7_-V@ zGaR6e%4ZO`Vs6n3N;xA#5QJZ(U_dD+WCl$57byUgYJ^J(y<#1+rs?LK9&|v4kd#%w zo|fh}3*ejWm%HFoA)z{+EQsUHXFv!S{F24-drM3%%cBOzjAxj-3**c)vRc|dz;wMl z#(lC@f1FT$+en88=CW~j%@o@@a+B`M^^DbI%^pjl9< zAulfGrVvHV2FnO}@x!ttd}#xOH!6dLi38`fI95nwJ+Y+*0GLn^Hor|)^hv}!yksoj zq9LsGU`p*5H-@(!2Cr%UU3)4dL$jeO|5NLj#3*fmX_z|LDxjZmKVHbDc05tPuNWAc zp9~W&SdU|K#5S6pV|V%`wJsu!IY;ro?13C|$irjf>dSw%Pl8HTkc0Qe$^!VAQppTD z9fAr?FCPKa^bsH>V8> zo)Bjg+^m6w1bDDNttBi&)*lko$kCou2OXy#I^7@$o4SqVC|*Sjbc(_IIQTFdi&0)S zesdhus@k9$P}xUNnPo4)M}U%+Mq81w0fDfdYXZ=sMM@bdK`k$$d8(0n`GpVj}JT|-isdb1mspK|8|26mk zc1Xpi&#}IZyyEh^4B<)_9KPIqHYC7Hbz_iC$$8{Fs`FCN6ihvU0|y&);JViEiU)y1 zWx)@}VF8&mUM8eOD48mF>H*0f(1ze>GFgOty~UmZr|oMY_=yi%ZG@74W1|kqIW2BG?*v1oT&{VQs!YgX}w!8s2XDfth(l zXhVWa%o)s^fA=zW4sg*4z(t?kLDV29RQX~F%}{C{5GY|oHHyciWsZaVYe!xJp%7ei zXof71AOjM3SwIOCP(p{HP^GsGi8BiR;DVM7RTEq>s1$=5G4Z}SEN}p*|2F{;69<<( z5?pec9ymSB|A!DP8?GkA+yo9ZhRa**S;``@=UF~BBSPpm^O4+6%%=k+%;AtI5yzyOytQgn8h*6xJ#V}-8}@^0vwPv4LK-ygFH&ABaT5{ zx={r>gFNvBYl{Iqh93$H;NLlkcRAytxc^O`3Ie-|Rbi|(2pBpg7->3_iS}i}I9)hv z%u#Ud=x{400L)A@yv%Ph#3OJA7qASl&tJJT<+ywA9zHOj-hSnD{dXO-my}t}5bNsr zvwS;-C;N6vA7b1wYSD#p;h9<8?=K2-fzJqIrGfg_-MUNOvqa*#kkRP&E0#$^x=aZC z9rX0mld=^}BYl!aaG*!(mA*L%&e*FFIMlzeOsD`VM6-4^S*J!qJf9oO0tHWzJqixx zFD(@;p%=wS$r$G-7B1Ul_wLx62nQXuHadK^Nz4+J*vt@5R}&mmf?at70xdAtEz{SU z2ZIK?HVFE-DNK1d{2g|BILUO4fv+N)K|ZB<)*Kg@{W|Fg*d`Nd*x*3#-&eNmg?R*! z#`=;R44k@OD3~=WyjdZh*kF)&(VSpSKW2N5c=j>B(t3n=Jhhb7kll0>T;3O}7Ll2! z#j-j3CW!FpJ-{S&&7_m}63dvtSOx>eGH|sV08I#25_F0}iI}*s(tQj!dK7%-zqLpW zXPPcC2tqDHm<2$S1#LO_CFV&41j1Q^woLOM>z98a{2%M5d5LBJPh0-~8ae3J;Y?uG zh5@789q89msSXBgU|6e|04G2OoB$XV^A83<9ymAuG5`ONC`Odhr)mAgcVBF}bmHWs zIeBYcH$IIG^FK*F;0f|Q;>LhNcs$iL%vq~M3|wq&ZOBV$`C?|%#}Jj5B!*Kqkq|!x zHe?Gm`d&JWT!CD&m-w6LZ*3ItxpI8T?_!q;$#0a9mp0(n# zIRk{+D07`hnZ9Ik2hfiVmXkpa|I2x4Ic;3Cc|OYV+2DbxiUqX6k=ayc8A4cwB+%4) z8oHUmx-b~Ihy;IEUfux=#?-aYDJq~H2fYBtC*@Rx2Q8ch9JWLfY>Gm@gg6X0NWdoL zd@+667lLLjG?4Ni)9125nH>aE5NNCaC+Yk%;{VD`{}Ay1s(`#O%&07p(NMC87&l|M5E(Ul`*gsKHGW93jJ~jAifgj@d zDwxn9C|cHLTTT^o(th(ucbbW}WsCNv5J2Yb;?#&dHF6sB6o(b7 zI6r2y%*@QpuD~4^rAyHl3|Zolh9`LXETts-3R!7>y{=WStJ@o`D&|}ufDA|DfX`xj zZZvVc{}oH!P07|>Tr`_$HH5nh%)8(en1WM)22MdC^?~Jq*B9y-fh`z38#--}pip@@ z_j zTnNR1_c8xzP;-H4ejrnBkXlifmg{2)MGetGj-UV$_`QXu%B2rK<{Nbrz7vHDy04_H zt~|cKUORp~XrGT0ecl_t*LOjEtIzOQu`SFqQ7{Z($a*o=Nhbx^MzeWwdwnSzW^(K5 zsv^8q)7p+!fo^NzkmQw+O_%4^uqMH6=ji<uE-RB-h z2bzVO_mT%hhu_X2IU^~XUd*j&jWRPBfLunv!Yy%WfM%;JFNfnhJGcBos*yHtcHzDHYhlSgQRb3|IvO zeiiA$SP7Kj@U0{?olGeiZ0KLm*a$vX*XCfVhtr5*BccKp%t9PwQn6*wwakNtdx9Jk zQn3{%ZaFwDI48|eaO~icrO#2Rh+8<&qqt#8<+f2(53UH!!0I4f;V7~}pJJrXmsuef zTLIS16l#x}wYqA*UvCS)j8iu!KaK9<+uI)+MQk1Cl-a4B6%0H)V+HLP%bvSc(%z49 z%X}tF>$;lGnseo1W{ocGVq#sPm~F9V@0+xP&m2oiZ#Ml`H&)hl4}m3>N|gV)b!ubr z?&ZqG(h-H1kBXD(<>K{g6b=;|XFCsjDlR^L@S}*#K#r=*5f(ISIeL)f0Q!D&C@D2k9P^1$d{Sd^4?!3J1r(1L@N{ifS(E+>hm_ zUvhtKentABx?*p!@%QZZ?@(A)^EACrveb}yaW~|{&Dwbq+M1Cm6AHe?)P5aV)fc$< z;_IUwN+6HXH?E^c=ugJG%pWU87Kb)TCzR@|k3{c}c6(G4@*t@rNkeAE*WTZ{Z%=Qg z_acT4v}92TedmnrO8<7G-zCoT3of9RZ(t=POAmBk%7+-O9&`QEl|swWyvUj*$dYWj2+$hfX^$PCY7qBry~n~>~_mdXJI{js3|X9?Y#!GbfyPe zRy3d!mFl>an2Q~1m-mg8`Glwl1X=(BrT?Y%Y6wqKP^9U$q2Csv{0=L38i}xBJZ&*4MIyC~mzb+*0HCQJLTqX&rZCot4VV*r2UqOL4(cF5Cfy%7_T3Roxe0D9uvJYdSweVlELLFUo&2K1W#_aN6 z&s31DL?)G3d$>h7Bban&bW>}SS<>3&>1)Z)w8k;@TDN!hwUJsvO)|Sw7_H9Cxv3W( zdxhd)yN}>qYrQ>LzrZPErsA;o=&$@mN7~zdv^cXltpTRh3vydKlEuXXeD5xRy{(OD z(hl0acJFQ=@OEqLp|#b|$K|2(Bc`stMuqmJFzUny_&28eNBq8*zdP>qb~s!te^YnV z{}BuMFw$8%yEf7JE!2X?o7{>4(O-q@0&~vxT=<)cp3(;Y)f}aZtqVfy9)gieoIcI; zZ%OC2q2EHoe}?~hCgxDo=D?lo0^ns9Mi6Et#f0_wD; z53yMlXY$lc9nj7U)mBT^p$PcWx zogp{tR@M2B0OcZ^M+xNt^cEI9_bc&P3Eu$mSsNwl(ITV3ir&9>ffZw*ha$fTtZ2M6 zT}|*T(2A5QO{aV-LH=jR7j&X5>;8ns#HRvE)RsunODi7<*!p|7NQuEW2|sm^)5^Bc z!u#$&lPv}WF~0x%{dkNTMpu*~y;Mu1$k9-QfE|+!u<}C7t1g&G<%TPLYNR76+nm#4 zVI8WGO#A{8D#`1w-6A<>x>I$EScIB%#um7o2*yju`{my{`QK?U%j7$~8nQ1-wo-1a zAnV`Y!8jJ}aJL`gd_^2$?Z_i1l}2Qwv=zw~IFIJbg=NYuBP5f!zbeO~ML(^@77;cS zi(&&8+ zC`wODQ=k5ZZ6TR_>&gN9GA&Ex#?>O6>3R*r)Xb+h^tNG*7su~4gJRGbo5I{aW>}7{ zjFB_SWt0}pg}9r3aMn6x82tC^DGrZS@cG+$?$hx3VFe*S?-SAX`D#&1#y0!!WV;_7 z6cp9~?C)O;9RL4}!pENye1wO?#VPRL35A=Ai=R)BI|J(h8XrzTke@3B+K3T|y@uDx zHJU;|**LB$aP&QGM^Ki3w?43 zvUj=4pq>O~2iKMShSMvfBj|LjXD&J3*nN~)@Wc2$BYk~+?aw3 zF!cNLx06TjrgOO6`i=xj)}XEG2_O$`mGS%c-j&&dgxl^n-z%sqA?1|{&nL;x<#@R6 zr*Jo%Zf?*-^MZx|R7Hg`8PxGLV)t|07np`SZ;I#Bv_=7MsMDuU$M-^yj5grGO;F?A zgkc1y-Liv9Z+|*f9!j!cu zYxnz!V?98=2c-565ZgP#`U0An?N3Sdz_b3-b?&qN+2^V~0DH%e8G_MtBKnlDS*^#D z^9b988}u~S9l_V^hWIG`%wBtg=wID`PI=miRSxuc3oima*T#oWJ=g8Qx0F#Gt8(8l zp2Nvbnods2fs$4A>9d5pPP6zE<%83NUxushu1|>m6N6jo^Z<3D$Sx-JPJ1gbcE5Zz zv?jWp7wXqdooVvwljO5t?6tp%DB086=?Y0R*h8x5lSGsEh4@dQm4rUh&4=deXSVp# zocfFVgAFtN#e2O+#ODJOc$g0%D%g4iyIgg?eFR@!OKt(HO`9#LxbMGy` z$+>caYb4R{06%XjjaWloAs{!31RjR z13RNa-vq}ELi6iRQo|WqQGKjAt6~SN8B==@#sdp5|JS~xr%Ax?>@#0 z{a$yBN^`vL7&^OyMZ06yoa0_iGu)r_U^FySc>8X{G}D!Hihm_1qyyjS>ri}rs2TOt zXQ2hYoE$$-db>g9m3MN0+@PT$5^qkM>oq+r_hQE1c?Y-9A6robSu3GF*PO59Y`$b<>Iv@}1RwkDIjsHn9CEVBiYv#uv#8s+7M$D?wUnx;a(-xz*dt*B* zGGm&L+rAZ~hl#`u38EcI?L1XZ)rZWlmb#^ht>@nlYN(aFeURJa2KWsLY7UJfd8r%h zbSTF?)D)s@Bf>Pd&D{LdSi^toOmM0Rs!2L>al1x-y!fe-6%S2m#}F0f9&+XLl-X~KC+wT{u(y5-T&A>oQErE7cfxifXOlGdk*f12^D{6 z&BJ&nyUC)f8$N^?)vG8yb+f=UZXbO5gSWXW{-e)uLg0w1rE9-!tQs%LBNT1v4>vx$ z&Zl+whGZLA!hWQ9N}php8cIMzn_OA3;an-@t!H2$mTPkqg(vXNDw*#5vTA>ZwQh8K zLi;YHh)o@Q*eX)p3tAvXkD9h^bh)01O-3(GKR4#U_uS!EXr8l|_*6P>Eup3oilK_% zNfMvRBkbM*mJBkf$ptd?_iII~J_8D|PXqD!%0}ZXFmp{B^M!9M0uoq|LOvmD`>=Gv zZg4-$M?ZX~yi@?#ZMmsRr#u{U`Gqs`B8J_0BuQ*LRtJNO=(+5BEz0lCaC_*Y)d|om zK22H$ zsGWD=ZJ(5Y*P*0s?~?pny!Pv~%CdN?rY2FF{(5Hankw1*W;YzLe+J*2d--q1!^Z8eQP=-oAxn(Iy0{UpO0t}i>BwKh_Ox9WuT4;U zLjv_D058Eql$#4{(vd)u#lki%@p>c%xkR#c$&J%EA4?J^iBc-X@CSCadbqmAfKO~$ zgz0K<)KhITF(;zB`WBnNNl6Q&%a^Kx({On?*;FR(=FAw5oMHRIzOL1qCCfvhW$nB< zVsBjuaG1C+gbYJ}8lIxuPKxeA&Ro#*7s+$J1^!gBvrjuB+{#i{<)X4&Plp|7P)0!y zw5`Re^+r|>dJoq+=U7XdEXXqLq>|gvZ0E<9$zYd|zEpD0of=*-b!FbrN0P4XO zT6AZGj-8gd_{Mzc(ZxE5&qa`W{@LOO=?F2JOi;*@G2)%u?D^1si%JtNVXFJ)Bpp+1c|>ux36oM%?WeJYiSpqa{OtSDy|ce?>ZQ zwdO{IV;@r7@Y?Y%4gJZmEv$FZD)RGD4NFDLO6Y2TZ|2wM^OH6ANG+)a15rzxFAf z==XqsFm1(#cXp7|`f6F*UxYY=WaBVH zD|4M&Z;(9EENd;jNOx_t#??n^xg%r|?=>ucM}QhBH&`1Ezea=ZLgQ&_k+jWRG-dAv z&kY~vGtm@%=b^Kb%;fG3bSu?Rs-(RFQCm68XwWv55_XB&e8_NY9}J=ahBhO$JX#2u z_u%IjqUVBw)A!Cd0IfZvfLpqKJI@;$&|NjrrJ%vLv3k;n*MhHNEqNw9fivy1I>?KE znTi$l-A%DI7|Ufl7*+_5@mm=&w8PHl6-WjCdmF4*S%?Em30bfL`~hYRbw=}CpZCpk z8C3clr^n$-3bB*it#iSy7 zelRFdez(&k%IP}9Y!U+ncF|GPlh`|dcy6=H1JG^;uCyq6^o#WSV_(8D%r*J(IbLJp z8uIW}VXWJ)k{?4GlcHfPIwMFd(l;G`tRs_B5^EA*^>tZBeXi(Sr}>@>V7obNU&?Rn z{Ss1C#sJuE+RE%!#?zmPOrH8^ARMplIxa90#?0Ryhty2=Q;n#`ZeyS}Kby9H_O=2m zTQc6jc|@BPsegVp*&+4w3?`V@?qbvmt=L5Lg+Y(VszuOI?6jP)9YE5_X(cuEnuo(^ zadvD6wRct`zl8|+%*&0mThi7y<5M$QmW@%RN80q!n9+IFb|r!CyweFhI2$i-k7%W1 zIl9ml2}(g6++q%a&)!51KJrn2p<~b~fgp9*`M{dfXpk4OQb|p#Q4aN>h8u*vb(v`2 zKzXt~U5}~zEzNDjbur-6hc&23T#j#TF}ngzWZJG`2Ki9~y25&GAM( zyu5s6unK*S!!`YJ1Z;aJfUk!)zRr211gT4Er}HBBn==EB}N>h6F*(MI}X016R~pvo5DW z5rCfqQiyKIE=E>lct^)!UQHf}45`f_{_x@#pOgJ})V?JfcQFvYSd)d&uZ&d7+r%NO za^gnijLC*nT8}?VhW|Ey(V#s;twSv!iwkcQYW6tVaDQst_NBmO;y6yB6Pb4rUPG|D zkDPV{Ycd(yF>r>D<3$mz`nshj@Ze5gIR;awAimd`Hbq}fyv75Ofc1&!!7GNzQ+#ga zTRv5AuV#6g--?0kK0%~2N$%L=7_OWJAyRJNHs8-R31K{fiZMWcGAvE@B>{Rr)29<+ z&Nv-4BxB~a$L?|;SYG2=o-J(DgqWSdRVfS!Duv()-;WEgOrzBW$?m}8E%p6$er`?5 z2^)UMT?HgDG2=5=n-V{G$$@>;=0Jl;88zf@lVnnv$EP}I^%1`7Ueo7p{`;!vmQ^;r z?i^aM^Cbn~*hd(D^4c9!xjetiQv(LrG2_9X&=+){jh2%bPnA|^{4$9B5EoLIu9CE^ zs<442bD@NS;2t4m!bDXB{u4QRkQ~*3v$N)NCA3K)PyQb$qX=dGkvXQ!1u}=zRrDX? zCn&}2j#?PGXOM96)U(*mU&85r4@ssDJ2-vd?~PpOoM!%ii5}m!Q79Fa+8`v@h4y=y=b|N=A~7%DY(IvIoP}i8;Xc*XO}}Ygb#mfKQgfJ; z2ybZIfaFJ_3#NzU+tqz_-O349N0s(c9AY@8Di1vJX`=uVrKc@b5Mdd@;&lz$nZKCj zj^LJ5Q_&`W8Jj`7A;Vt4{1*{t5KTFXt<(YCP_!=h^Ge+6vT+IG7e{qr$~G(C@t6l! zUod^RI~)^%2SRQ|!H1kVZd{kq8T)2};2E@**-8&Kjvr`CVSQ%ICT9}<`m}WquofI; zh3tnf8`4x@%#KPS1C*#~#4G$YU9KrVk-^jXz+>@$91o9?jpeBeJ% zR|gieFqu@O?^=`U?Z%4;#FYF*4!(W$_FA<4PW$#k)&N+$xREc!oZb!T+t9Rgn9Dqa zTVX2!GlfX^qYcd6J@8K1;=>m}|8jSJvlc4#KmuRjFmN#xf>x2YcPOoZ>NTdZ%6%yy zxpuyP^V;VWrR^`?RPQa3Dy=oKa_K4% z8!!k&HgfQKi8Cswnxo>y+)R(UfjbzkqAcna5b)S37qk&hoq}9@wHB#X@g=gk#R=dj zmU=x2ck!dsdHK35Uus>Jd1dNo7~r3O$s^DwvYXhS!QN7A-M-J38xc&I2F}Z^G<8AY z?Vo5g&>syUWT)^|L1~xw&MWFyV?TcQ2^<&zxI_yxO``csE5Rf@xd^t%+m%~q&Y^b8 zR(T#jtJB^-fehzC{sHx)nl~Yw-h>;i)Ap7R;1Tl{^ZC37z{YCjEZ#qeS zyv>KPNj!982TGR@n4@aKRFpWlUj4X{l{(t#idrl6e&Q9A4DhZW{j3?l~1 zi|1Ec0tU>3CxL5CIz`wu!d%G?(`R3|r9Zy@2rPJBw7W0IsU zyTn3Qo*`od_}!k$l{qc-iTg80^mJ9fq?}g3ls<2--DiG?$P?#86lVz1IMB)D34fEP z(cD?cx>88SMCMU-k~Oz~cIh#BcH>+!%sg!B(pcl@aEF+dG)=&k)gG??o@|-6sDMb@ z;cm8IJ=d*p9p;e*7r439suR^zFo^Nk!r)**~L1~I&npx&f#?sf9x9yK~!3^ zZJWuq%LQTms}J}uK3Sh8V=92U~TQ(h$Dl zC2Wr^dlZs?Resd=G{BLF=%YM*mas+q0F+XaQ}}T@6bD!X4;t-Yg2v}b_#soUK8%g> z3^n!kY5B29zF#&R#aCGC>TsX@R`}TkKCK;(H47Z41JpH*Af{px92~FFn%>OGI7LKb z;ER6#HgUo_1~(+S?a^MO(^5|OpjhL&y2zk@Vet`v?xSII0ZUkP?J=YDn9jnzcjFSwy-cz?yHst>h`Ob(cxOnTdPH}o&Q#raf2RP?BVuIq;CxLjL)8^oN2nM z+I{XONn?#lIq?Lj^(&bOZ#Cfh_3%8g%5%d@@lq<<(s9(7 z=eHbjkK$Q&*sVqJl*bd0RHQV^WDjR%5UFVKE75oEoh}gG398Iud3 zbe)6@O5G_17X^o_LRT|dotz1HGeVc_nUXA?_+pMzGmuF>6P@8(4U$lxc(NCQZ5kXm zb)KDh3L;gL!x-z(b_HM9Ppj9tunP2jH{u3lyZ#N3(sUqrekOznD?dO??CAvi%ukPh zrtmv1p$aB@AGnZt)E=C1<+Yv1lNaQ2b;DM#VTzvu3!Ia~w%pR`Fd*}K<$MdDV{b!C zTudyeLgC=abCT8NV?{MSjRD)(uHIgc9@G3fg0%46#tvc7F^C{Hw#lufh35zvm3_B{~#4J7`9v*f21RB?XnR6_}gFK#Rw z5U|Ba3ni-0`hwnpuVbH83hCsk*M@72$uMK1ma)eW)MS zmPIBZQ`6~++&xCXh=WUpKRLq*YJjWvxdZfVh_WcgX@e&wS%Q$6m9$T)*Q;NhG9q*jlhqUvq7}JdL71QP1Ov4ZZ6Wo&IBDl!%>x7l<=_u%I6j#g zv{DmCS?ppIE!5mbl60HTaFcfA5C-Br#Rbu*_zM&dZu~>PMy!1 zmeokATlWE!Av z6Kp>)K!WoCo6?P59O|Om=Q2f5OFIcTHWKcq!4x)t){1NB@n0-|w(8Y|NAo}XNvR@E zF6V{ijFRlBu;3;1(Fy>+jKc0MeKu(7AdagPFmiF7o+hRFvUs# zKHhyvZLRF8IdUZBpHQ1I*xcZqq9h&is+H!`f}w-Uy?t*qx&N6Cf8-7{1&WkNE)P|Si!SNuVrO8>twu+=Mqi)6P!hdtZc@(X zv#Edw0{52+dVik`ykDz>X)vZDV@?WTDraoBgW0P#lWZ@4mf&A9#Yq!%lf+5M+P_EF z2}T#GJwHcz*>MMs{mA>&|EnkieAq zY@B3U2P`!3%%sDqlETRm<>NX;yFuihX1>B%m$MA#D?kf#9eVQYK?%n`o|LrU4P8XI z3tdXPxW&VN0YRs-&1qC@fPX=vRTRl@xvR%fu7j+e%q2!AY2>&aWnry~RM(wFgV)aok^t=RX_ERA2J#V?9j~bjE_UPmP$wEAuWN{^dM>XTzVIXQ zTyg4u=-6Sq(CeMZBEZ<8b;T^FCKq041q{gl?R+P__mD5 zBgp}N?25V%X~jk3a{Hu((3&9w$s9S(HtG$3CL@NJOiX5?JvY*qT!L4)LXKh_d;kmX z`Pk0YH`xnuma~wau71DtBw#3qqBV>EV&(@33>XB2bgQZFqD z&fghRO-UUKYA;9?7)ymrpu{%LH0yaRM7bg|t<`F$!z@l81tYeBIhpuknkQjqwXflS z^`A=`?M-egH>vqC_KU0vWe}~DsbXne&X3eK;KXg>A7S8mkCpIHj1v7JvNq(B;eSNS zLI@Yen{-IIha;mhbfgkd01WbRlRJP<0pFVHR#fNrPmd$XWg^>K!!jRZL-9Kx;6pw9 zzEU>UgeL7};qTe|bNV^#_;th)#*5g0=*Iy!yL)u$uqzn0c%JRf0ynudGLqwFW;ayI zB$)skPbLyY31Oasp*CIqmYpBF%-F5?3#Pvi%MSx?vF9Qt8Xc;C52xugy2)OENgqyO zuI@h=mm(w_vo+0?OWB6>Y?9sHm31UqHh1AGcxntupo4389kW8uL=en%!bP=ziO&5* z_mgmzf6_d1;Zc{H7^?b}3&Y(#&RxGby5pw0F)PBE{IYW280`Da8N$(TJb% zU^FBq116v{nciscyhv}}Z^gZ4-}t=J#(qa=vAmyId+>7OY9%ot8Zx@`E8$?hNqk_KRL)_n`+iWj0O=Xpx zm8*bu4upFKJ-c+tC|JpV`c3U@r-N0$`Hy$2g>`&3`32TvAD<$8>{>TguW2MJASPx8D7f8-uims`5bRK=g|~@UIY7EKc^KR+hahQ zB1U=Dg3{00AJZXolKTl-Ci??8a(4@qMThCH0nTPsmN{%qS@QIIkLj=zhrW&dl(n{l zSLe+0-ahJ81scFr5uQVnUq7C`9hlzye-bxa+i#!DuO5SsQ$c~}PLt!5mM}zVuzq?1 z7m5N(eL)MBvjI1Mda|P%>MY++jg-p0lAG?gIF2XituqU+Si3b3Q|a;4x$#0S&Q?BT z??;*mQ_N9+8D&dW^&#c-v@(&O7GC1BYn_cfY09s7gUl`*}eB@Ze7*ot}IMP^{ zcyOgb^>{gdIdm&*dp0V&IGF9zHZm_;sQHTndW-88fTe$GS-lTg87gY@I^0i?+L{*ipzXt0QJ?jOr@d3wlq-+~}GRK=E;rxK9FkO;{G z>41V?W6{~wd3S3jB?M0dzB|+B+?P|)fkzoNbpK3$L^oRQkViW+wvr}lrM|S*V~^sE z^%gHtp3PlM2vmA=p?1EtS636IVz3k4u3&fL-idZgwm6k<30gjI0vs{!d8Vf^ByN!z zI`$Z_tAayxu^d4b^VE zY&&9qDKDQ%fsaA=kc*+;>m;eIB9Ad+`Qj_&n{eh%!1Q>Loc7Pywo*$ zzu{B&r!wcPK32Jy@Y`lg6-?G*pp|IgpGL_`zycJZwwT zk`zjanNEOq7p_P}A^p@BLf)st@pWUF~Vu~faTE80jJ!EAO+$BHFuWcyV9#{MU|^tS5TJlXGW5xQ)+ zs`tI#zlD!zuupo+)hTWgX1qO$Ceao=ggL0O{6BO>)4=)0PA)kFEDbG17W0c%P&$(e zoeujcF{VwCc%YtnH8$8lY$O@lb#nZFx@0NbBqiWZtAKW0dK)rSpSV11&bI1;k|_tI zw{_Ji$WRJPRFc`g8kZuMpKUcZ6Is$paO~1&sNT@U{`MX9%(=tg*~%;K-w_JvQq(5 zG|a^==D@V+!E9a*+&Tv4hTF-1MrP9=RF9I8surOZ0Yw2%GFC#=`oseZy$m_^(qQ8f z=U%@$9Iz|Nk>wy=z(C#2uUTy3$z^*`>YkAFBX6A+;MDECy(}uU_JJi8o#so?r>q>@ z<`00jG;%&=!>z353QV!t;^te|@B3E4nm>2t&l?{1DZF1Im3z`nSy*|0%#M3pZft|V zX;eKrmBODZcm`6I!~ppI1JIcB91hG3;3NbEFK4D|s+zo>fK^D$3(UqksbK!k$sArO z*>N)v*4auuX*+Y9b8f1$Va$x9Qo)M9en6MT{**arW{#__3P%jXxUc2K0+^+Z z)=n^<15T1FfV-d)8BfQ57Pt*J`+H~~L4N$3K3rxtOq+h#s_V>3Rr>DX4XC}|tE^)j zPcDtDJEg>eYKjC-gjOB?FBKe!mng{&EEtAL-jOeF%!!yJ*tc&xXvo z%Ja&W+)k_0md&w-p9~xG95~ddIZFef#nzTlZqW)#AVNCYBOL*MXjZ;62Nls;lHC#z z)Upu+(M3)0OCnLfEv`{ck?#ghJQHcJ;S@AkIq}!>1%+{#H9-Bps~BRC!G-4!5POEx z0R&7*`5h6Yl>-;Z*Pqf?eiTonTj%BIcW%r%O|-TMGco_sfM}Tnkd(t{FNCU z`w`3Iz}pBsU4pWIa}z~XAGtw45TWaWTd#pYC@2*cEG`BthCKp>>3;3VF>in0MI(C8 zwb5gbj|~`k?Uql?!IHYX&-}8Xa|ny;-vr|tLML*^7eCY^R#$ZrAro-nMB8<_zjy_v zIN6h=J6j6gG(z(-DwfG5L}_{!`C1qek!P;>nqip!kd4 z1`3G3wA(Xu`g?%pN18B4>UgH}8YHw8vFr7T^4NEwHRhu= zjbG}*tb=Lo^G3>Yxr&QI$Ey(^TbMq0*D@gXvh5B;1_KeWYSgXyg})JM2^)izy`npt z&Na=uF?;uaL!ni#rfXc4ZXF=8*Rj$lHoxwrINWKJ8M@YWYrf91QfQj6_2z zTnSe$%NfE9SR|}OWV_?siFE0nygH}P^)X&M2H)~;-mpoak~@J6dMUb8Ys#-I0lzW> z&Ri*gKZxxix!0YUvX>(q?V=?ccF00I$;U$Z&(X$z*ly|qLS9H#y|>vKcf90vyLC4EUW+8EK7PV|kTRFiZX7U^hiU_n$`A$sHiIixB29E8dzHP<6kc;^l%pkC6CWaFiCB zctJi;sgRJ%_Au;PG%^w}MX3ok4bLkXs^uXAsF&+>2=V7M=Bu^RnWbMn_2=(vviQw^ z?PJMJZGUDLB*eZEH)QX3m#vNgJzc1}_yrKJsC-ByIrzGOp#B6Yv!9yk&wyucql~ zk?grFd$Vmp_|3__^CCXjS7FnhPbg@AfvO*6R-jD>0+|JIZv{E&gS(!CiDx!;2=qm2 z?2p^yj`n*s?I=usUfWgND#M66+64LWl&kdodV#W96&xas30e1>F zwhQwu>?#!fUA5)Dq+0LXM2+2wv5l>)0^1Bk!YlGL(4EF@trb$=&AK{yQKXZ9XPYy$ zr*-s7;gxisfGp}d?ukXj$m%}@vEX|-@J~sxTEbt2`}I9((mvk*__W6O%}9NgY{P3g z{y^;aM>#a&C}sL^-T<-H0w*QwP-hKyfv5y{v`&Uju#fpD^^U3{zbs)=wO=~JrZ$9K zFs+q?rL{<0dIpig&Jizpg&*2~M%RO zs`bSbuJVV@uzZH$2M2S)sPFPkZIj3?Y`@;!iTrSp3$lUME}bD?k2fc!yTiuk0Q?X~ zsCMjfIv`00eErT;Sg@K->iKf4_t1XA6R2!Z$1JRI&Ie1O!nOluIj_!twn6C12H}<@ z4$BBVBUd))R-1ww4ufdh;S(;IF<17Avp z9?y;O`)7~R%Yvp;`hpFOO=!7bh*Q?r-pM>>it!Q)x2>O#Rq(D0DraxWx6ekc%HsLh z5}9}rB})dSWY_j>YfhRSS3`3t<}1f=O(rXN;VdHNxX#Z77c+)`W@#q9?*xRKS>bM1pOMrYj2o5f$VbJI<+briACO!!-Q#4$EtKnK7?TTthK?5+WG0& zGg2HX_$?8rq;pVz*^#imix|h)HMH@ONm|%1L!J-sryJ;C4@(@C5xEdSGmXr0$h71+ z@NntaAgyf$uTz#D!5N9|8+uhnznXdN?LDbK&MaJ~(6`3Kb!|7?dwCG|$!DazdV&H} z94Q9L{GG%`MlBR_>#mL2a=CVVcJDpL47$NBwq8G-0xCGTef*0vj_OwE6G=EX5tpmtOs{O!<}E=+9LRlpEWjLt#Ggj*@XU7K|9z_wr z`^>&@RklTcPJK6(9xiAaMK<|ffgW@Awit@RP_aKAGgh^oWX+C%ov=xPVcyk!J$Nj{ z22V82H1ySFnEhtm5LC1A?Z>5+>LPBvxGZUHkUo_}y4hktcUkp3iD^XZ1cW}+<~Jc~ zP=}ibnXr6=dJ!1@*q(rD4$aO6-P~ykB~|?B02@Ak^BqgB^-pCD^upnT3dHP*;e1TU zcFHczG7FwlJK%XGz+@Oh0bYZSV?1CNTU#x{Ds#Zt5?@XAP=uDgnE+K{+_w;C9&YYI z)WI)?06IBF{rl6m_6EMgxKJfMZunV%D+a{C;&Hl4|atG~F<3tuCUkN&*L$Ss&UfIAH%Xnv48`oeI zb$npO6J}`K+GRe-z!Wp~c6n8&wN#BUIbF6KBsbrC@O|}2N2xq8R}Qw49>wQfc)RnP zYk&XG*ns(U)O_#cax@|%l=n?^l)!z@BCz~_;o5Agl;g{tF0yc8dt~O4o_m9+D+cx1 z;kK}}x|a8>Z^}Sc&NFoVID8Ko5r6mEWheg_Y6a3Q1C81OQnH2CboYUQ%HhiVuSf6} z_Mw>x>4%<=f;N1$dv!A&jIlD4);!3}cis3rJ~vWd!4)appo8@Z3ys#le6omvz+g0g zdYcQeZgwebMf)NdnP?D(=GGvf#d!+Q>K}fuffT!O`&a z5|~f~D<;a=@5V4@Y{GZDawJHsZa`gwKPt*MKl^=0P6{m6vxHCNlOkU0Mq>EddVqM` zD<3@B#&B1?9qqKB?^=l;q}VgIC)!R@ z%ZO71g^a8tKC^GwC>k7+>o(+u979W#*^K9QeBwz%CM`84PiZD7l8x|a=lHu5hJMVE zKA@!z^sVbGv|-gowY1QlCK#kYGT$0Q3m^DuY%zK4jJSjzw{)Ti=}xzQ-yo5EtR~2! zeDwXZk7|iEavH-WRdJOPuCnef&jKm>qhA&=#>ejuUPEC{jfT7#g3rfd;N6%o*>;(+ z_3-6yNl;X+C|90)%Q*lE#x3_4vf6p;54ZglJQP;*Nsmf_VDgt({7srZuL(KQ4OL&> z7|f*FNdkVatfmBR8BLRakIn|CAJGmi&~$mS6@_VUbgo zMlH4qG|)_J$M(5_=@*YT1>9sYRSdd{%~?GuZEuq9#Y(otP;!g%)Rr0yJkxi0uztx! zwy5a$Zrok37UjH6A}_fPVrcNJB=OEuL2}vGMu^cVWzUS#tpj z7bJpX+(A0=6P41hxu`Na$|j2nas2{!%$>7Y}=|rOd5j(E9a}K zFj|qu0ypTkb5^U>FCpnn1=d#-)$X-aRUM*-&*Rvj`*QCa6x(-&nnOO4ec8}8Ok+Kn z?w>G+7voY~m3d}=luqL*t53YMHG+Evz8~6C1)pRF?CP;9v;Fx_5%t=GGe!aExt=(& zLbYM6_TLDFcLSK4Ob^PW0&V8l5F_p@xEh-S_+8{-oeEx=To!DmZAr$D;!!x+7(_J+%Bn+OM_+i5B6>yA;lJWQ8}3==ch&ae zE0Eh5!(C*lJhc2Bwjg>6I|SEzWq^8wKhA36l$5jLN1+vwdZ8lWCqZ|B2HliwS*u;I zmU6Ei>Oii4x{Z&;QX^=qfD4vRaJxwKZ4b2`!Mwn73|x>!$8mI^E9Bb=S8C_(;h`J>c;2_h0UZV*gmVI}8eiO`aYpQtpR zGT5~C$-qSa0@1w~L4Fsg;tTDaB-YykX`7CF{VUB02R`I<=oKl9>mZ%4xF~1_Q_PQs z9=7Ix(Ef=}kpkNLOZeqk1?Q~xeF{*S>_IYcKXMHlL26~6{va#ZpJDPq$;eg+>d_p- z8(l?WIc9^l-D!qk>bGotS*vhR&9W*m-p)gi?<9x$4*wJScKoWU&=>NMNz!>KzWmSp zzDSXVY{mA>iCb=~wY3Y3KQ%~X1t9gZ?E;N|9IC26^ts4H-$5=q4!c8eNb-%kY9XCU zj~CK)fo=54dEzERN+EdGc`p(Ybf6QpU{_@Q>{-2u&zl7By@ zkY5dmyMEz0nIXNiMag*W*Ac;$fe-33Oq_q)V-a-;i+}&&2yKsc#7ohwLMxEB$RX-~ z!EOG{XWd#oD)>xZzTio5ht?r(?>rn#wybpx>8rnBsN z>j_^C9Tz^|n-2RRbatR(UUiBmrQSgS#hm@0Z58GEP8pr5O>Dev>RI^KM3%^ESUb_8 zIi1y!Wf|=SSd7|`y2H}FwZ&?WXA#|hv)P%)QelE>$PE9}`Kf^=(iAS2D)GA84) zJ+UIJtxb9N1UPGb(aG&fEY$&lV0wmj0b)+fcq%HW4Wjg>PxOQuMfwKrI_2koE?9L6 z52L2^;6v?f!kZRojWJx;R)zRqQ97TzdL|sE@c_mmmJOjN3goBw2ie-8bEJ72cA1QU zg7;Eg{AkmsUav9LCODZf(SGrBpFqUCyTv$TgA>gTiAUbUBIy%Y^sOi9&_ngD!!aen zAA@nQ-8*tj1Idu>6wI$QT^FW*qa%h&BdS0jHXzp$CAl+7dv+N+ohGBRZkP+pdlIN2 zh+?evc9>gmH%^mV3|OG0Q`(rm%M3n6E)=6Gc%Aak%a_N0y*wF5Dqik(p?E7iS|db% zkpC3R^9+#?`&?Fnr>0%DC+8qVQKU~s^ucMS>ZKa^VFR7$5lA9Q-cE2#kGZkD487Vr{8n3Wn~zJ)yrdj! zk@kt@xvQ#}b;)Njs8DGPrw6h2aFd}_Tc1%AaB$;>j}7Th^2|Jo{bA}(n+CEvKNae$FB{juiR`Bbgp%o)4`G-<>8Ak}= zTL6(N^v3bfoGg9vn+ns5c3Nos%$RN~cvm%wnDVDX(fp94AFPMJjY;Sj9LXuwGji~@ zt8j3}=U*VJp!yDf*Ntr3sqkzYFFT-97J903f@|uZJys|vOPIfe>q{AiFkH%iSvqPj z<}lP(SP+cJKV;8PSl zV;rj|RTVJ)@{t({>~#iM!~zo`A23N~ zMF8${BOE^THu2zmP>C|PR#54Y#+=_45Phm!60$QuZ^R;fEfeGPq%zEq69FN~%s!;D z^Xxn^exQ_yH}T{koaSk+0RRxgEU z$F?D%Oyx!gLHD(%ia&$|gbpAz1cv7c>?GH_h2S^Uq$CwAM00K% z1yVU9zPa#{Ko?-)LRAE2U588X-Sga8md4d-Ohs;gyr6;efAsoFc8%LU4z6aD0xi4X zTvv4=;i+o-n}oxzcqe{#eNMyNo~&z&_w&xBTS`!4ytxcb+7Rxr%9iCRzBN_SW=(z_ z2_;oDfYy->N=B?Mqp~%q>Q`sm{0t&wz*X2dJOTcP;ex3MGpLH!quEbQEtDj0OP-VS z-ikVZu{GNDyk4jzvXJMob(twa8bVwxz8lt2)>cl~L#Hy2c*I9D#-z3NoR7|0 zfSS6H5dybe?(pr-)yU7SQO#n)(YliL-MAgI;dvXp9AA0%^Q}Q_>@sunov9SnCnG8x zoxOobr=c4*ZWmP;=kh!rmlsvth{8CZp8H0BX2?{mnSP8Tycn}NjM^4Af5IaUAKP&l zgXzR&e3RNziL0~Sz-$@y+NsWb`ocA}=~CbQD1wE{oyR5c5r6fN5uFR+t(1ve?rf@E zNVMd30xMM$ zu>I)AfvYekdC#+ZTlX~<)2ZG0Zkw_(?i0VQ2<0w~#I8{*6R2n7`gE-^Hw#58bc4EP zc5t)Din*zR;;CCZEX>Nq`cayte-EmeO$;Tay`arrU;tv`RA`D|{+|Ft1ibrySwNsF zUlm$|bDs=&i|Dw$N{U{KZkgVkZLSKasUB@9)u6@?FI5j>^{l{`a2g2-HnB}kPa}Tu zu5(%7RI`&3M#KSu5nT9Ba6&*=$k-OCpY;B{` z06%yTDVx(xJ&Z39Q51&Yqb(@eE1x)Hau3JXb?_2C@j~3 zGt`&_Aj?e?Ywp=D#5^^p`ZN!pQhp|k!*BBP5{Uv&X~S5s#-*jYKrYIZ>qJo8s6(oT zFmV*T^)iDA*FKYhciwfEMPGX$wdY#sZ{Gl$&&o=3DyL}}vDB!}iZLI}kfk=eMlul&j zP4Dv~hy&Ty?uypI%%d(Surv)R4l63;`VJaBRDgqyf_`V4$J>_ zGSo6Lg83Cquus65v2T0MC7|7l8C<7N4H95YzgZb14^_fV)55Dp33D|E)txD?#Gx{z zC5MG#RRg_q8Q4XCO&$pn57F7;ogz;`k$~avAZDK*fzv7Avn<^)qSTVCT*eEUi}93K zTKrracAfjv^X;u1DZeE$#5;H|reef$t9Kf7>yzVf8l+&0aWnSv^GHt!8r^?uy=P6P zVzJ!ycz(kD#G*>A`gG+-C`6qHAk^cFRC-oJCz#ZU))mix+2FwFENE{Nar{XKpzk2e zNe1c6?wU6g!?(EQZ_m+sTG)eSg!>p`;ZjTAkels82VmPCUKmT4`KpM zt!={b55nR}_%X9L<4-ZsA#A&WN%_>3N<*Bf$bC#F)}a;FHB`_XLM*XATc#CF_#bg z0Tu){GB`Gq0b3`3jrRpmoLklg3PW&rx5nMw1Hs+hp>b{8-8I48g1b8ef;$Aa;7%a8 zb2)QnCTG6?ue!Ios=J@%&)RG4x0{kwNtIE=%)taG;b8B=$jZdR2lyl}s>R9%U}0fr zVqsx}r=(P~a5cclY^rt$jZXfUmH-u?GZ5qkGz0ua8K7Wn2mCiRCU{DKnx&QV-wIU+a~F4G5D@S# zu(dJ;+B?6yxZ0ZmL4fz{099!@fTAPN{%>QszYQ1w|85R|m5KGg;r{LYS0F3oeQ znmX7y8ryqX*;@e2t!#k+MF}}37Y`Q(fU&*VUxvniw$2Xk{>E;`R<_0_?*{)W-54Mt zq6{#8XZUY^&ZZzMM;B)%XDi#kI5Phg=Dp40_GX_P?CgN{F3#|O)hA{J0-C<}-IMv> zhihZ+;BN2zA7pN2Z)W}%4Kr6qW_5cjCs&}f*uQPwMeu)Q7C;vOCkqP;Hy1Ae=mY?I zm|8M_|CK<^(-HVDCF@_}cMiVZjt-6h^LH9RUn_Iq`v<(Yv#}cx-~w_5`g;FU@xKV3 zl@(xSW$FSj0a{qu!~co?E(V(ajo;57WaRfd#+{PC5x zH+KN={$1{SpZ-&_+rMXk=HClK3;5qy3J&j+3k1;o8FW1sP8QSmH`f2pAsDrJ4 z+5go^yBNRkf{49^?SI>7nWo(>W0Amoy*b|=ReU#WZIRW0R@9Ss= z^!V2l1DKiY9bDdB0Po~{0p<=M_`gnnl#3Is&-(WP|1o$k+58WG{0mwA zv1k7ay8R=-UwQ{u&_Dd&O)UNa-&tDz7jnG!-_q0368O&o-(^<+fbaBd{sG?^+5Q8* z)3y5pS>MV13B~#DYX3e*|Ioj)b@-G0-Pz%P)bG_h{!za-!|{Db9sbcS*7x%NL5}sk zR_8zY{z5>ve~{sPH+O!&%KkWiyvO|0-1iHC*~JnB{73)Z3AngB{KMg$gX=%wJAJo* zz;_1j|2Uj??|&p?dk^pVht9jJ0CYf$zZVenckKV!B2!lo=>1vpuY2x&#r}i;_22>m zJ%Fb0%L@*s{2|u$A)WWNA_VS?dy|4cDEBneXc@hiL0zto$S}#YHCaJFLAN5we9>Uc67*8eziQ6O}uje4Y(ItIX_mz%NZ>4^DkO5os78f+J*9`?&A(;^dE^ zgrU0+!w*vyxt8z>1_yZkW~m#$y!n6pyu@ab@1oo5ZR~+`*8PF|{~a}8NCe=FAo&ctO{^U3tuLypNlMUk_q7S!q{oeX4)nxG4hSIUD2 zIfT@6*OVF?e@%%jpvG?vx4163QzGJ&{cFvkz!LFHD_$`uYeEO>j&XyxO54K;wF<7F z1zI^lSIe@v`JmZhf3eBs{Ptd@xoCqDU)+{f5~y$A=HPc3ODDb5=_Xc**((qHZg-@L z+;M`v_LTWP%#XlykRUH?O)w?RV3~Tfmtz;Y;ii`3(_{pp3+M<$aV=$V%C633G=Tr0 z_j*Q??8;{Wr~T#+(ymO5;#;i295F2b#}-8@%jcHXm=An`e_Ghf(|l{oRMAIj%Hr*Z z($UEzvhy*;aR>>oO9&hZlGd{eQveI|vrkVt-aA9Q&BJ5S!+SB8L(Bw0E-gSNcLpl< zzxlzgtWTW9WmbJd0f*oR51|>QUfCxJOzkzV3r2coE;7|j4HioqdciB@0S_L1R2ihv zLn#eupTsDDf9zkL?a6qiaJ;}sB%LNBTD#(Hh*1Fua_e0BmSwFa=+mEg0Yh;$V06<* z;qamDni#w3e(rqR8(zm(_E2FacrtV{8iA}obRXRdRKI@pm@^2 ziuJjY^dXSY&p4Bco8xQn0aVP<+HB7N41-`h{aCq%e*}){KKlTB?HJw=kIevr<*6am z8MJK&)`5sUY{F0DjJ>9ymRp5yLr8Nyd>8p8y6F@Xxn_LRR;E}B>DDiwp5)nfjm9}a z)pGGye1*8X4PS>JH>j%$2jCBBy3RtZ5Z?WbWR zH>bhuf5CDjb`tS;0Y9k2(#znUK|SEvpy4432r)?cRU1?cgR2YG_@SY1_|Zc0;-pCC zGGZEr&`-^UL#MLccP7|nSnWSdX0w8U>XOS&uLp#5Dt?V`2v5aL@N6sTfZH7=K$F`6 z#gwjRGD;)3+6g`fpp6 zjlV$D`jiP>D^4tP8&}cYf~vPPr>~WdiQAq++*qCYylhL?IyX+hr%l+zC`9Nu!hM_E zD%bwhIJBgbGxW)?VaWF8;ifVQy~8Y>_3H#5bKoPuf|LPs2)=90H#Q$xd6NDDhL>H# ze;d%9tQ>2*a3WdV)Vz(v4MxgO@&Vk_iGFLp;y2Q)Ok=XY$O#lQ+`ikLH8W^>ekChd zhe!#PmZR2ufnL#3%P_*l55SqC8?T~6Az+#JX~R9Oi{$qchmr_JlDnlzkH6FdyOp?a zNKlh5N|D{E*Ilv-);$H$k7o#7@jMQ1e~i$6M=up-&4(joM!1f^Qe#7DsQsoyJIlm! zp!p?rXKTQLu2W`92`p3g!Y3A2LiK_>qSG#_^1W3Ckr_C5MlyPi28xX$q1m>tX@ca{ zz>l(YnjbpFBWqkB4M!Mdx{`(vOo%26!wau;%;GP~EW=Y0>Z9ZPg*+6_$_h3de|O@Y zA&RrxB;^8@6^kr|u5y1k#9se6$t%sq{|2@q!+#+|uV)88NkS-t_r!%e+Gm4@5w~C$ z$V&#TfCw-Wo9)%@x&Rzna=CkppqL5>ZdAMZW{#sMfqg3a2|G&{<>M@}n0jh1J4kVg#OL1w}#Yt(5h%}xd&+W;`{%8T%% zQ+)tO_Pvd?lGNaGH*NvP*`lzk4|5Yh_0mx$Fp!%+U{N?$amu(QU8Un5ag|f-oD?+i zpYZ$jY`881_wp%7T3CSdcM%kV8lsnTN#mKXtDI4a{8B)9HyL-1Z1)cYe~4dq2OXEY zVe34eihyYE%gcnXK6!3Nw8VW(Vqt`Y?D@<4$+8s5c$kHUaOs@@26q4YjFj1R=kM8K zI31fmRNf*Ji~7`%nghm7>tHI_PCo}z20nX=>B%>usq#uKT`0$P&S!(nuO&+ip7l66 z!;{H(mff&)L$#+GX|WEle`R-7iwp=G{p{P)tK{*uo)~zj3b~Du2F)m5Q`eIb3|yEs z(F2z}r+WN*`f*EOuPQlOm1VtYmjx%lLN&!Y&4fA}@PWrGI+>2k$@o?wj6jr+N?+vO zOyYeiZ^<2@$hdlBW0B=Zl(fcvdYK>>=jw!+&ffXH1)kAT;>az@f0`?@p{!R2Zf^o; z6y_S$6KWV6fp1+P|J;~MS45SHHqRI}8(VClcIwS~sk0x58@w|?j7hW)Jx{K6@ZVhN zF>M>oZgx4Ej#|CSOy!)z6S42rWT18Vpeo%a@t;HYV}vy$74De>tE|jvE?Jkmju+ZHpee=-+q+>2={`LRD@gsW7L5IKG}7o?y#A zmZo6OgJmvCxcd*E139VV^e_Dzb-pQ05IYWo$1N^47lUeqhm{hHLq{zb2jej62-rpQZ#tQGUjrXf^d;x z>q;nhKOFzc;Yc=hg-j}Yss?!uB@fVA!8R$1TB<(5O)XPL(|q1RG?Y1WS!K$qB)y)n zb;o3zr#uI4e?1~WX`NfD)CkY|tHaBLZKS$RGhjoW-cGh9q-}7>oPlhOncc6jzlQ(m zHif%@nY*`2aLj@6K!q|OYJbx{g-}Mcnee0iKKL7#2+L8Q zv#Yb zp76;49aRR$n@3RY{kM5DE5Ks%#?}S2?{w{lQ=6-d>Kgm(o42&e)YOhGOOw z43*M(^rv5@9t7|q^8SZDP2BkkJm21>F;)Ms2_17=?e`=<_pPonS*t`l?b88~Y&M zZ8;z=Hru#TpSx$GzSN|827*Z(r-f`des5$W#%xRM_m!sGXv7iyG$rIIoS|qq0uI&5 zk&6Dzx1C&PB7Tskp&!E9Jgaf>V8Rege-T%Gp$vHD;P_-T> z`;dsi*rn9d3cT+3%sA+^jk57lf*tSK0<|c&Xd{}cK;Cz0wRD(0SIlPE(kNChe;4Fx zCiL?+|D9Ev2!UbuuShI-2MNQObW@ufh0M9=dlGx2f=9PSta)0tjO5kyFS``vXGmNR zGv2S~u+J3-+ttl_5^gu@rEV<8ll z<*uwtuM;KnrNF5bp1y7CBf|NPojt=(Yk7Ndb7@37gi;krq8fF`szz<(8lJw4dxcP( z(!#fFS=1;#0{#B4f1;%212_u80Ww%o=Lgh_B22e7-@FBshWk>5_b8Z63U(+>RT=}s zw(L7eA?bp5E;I6ZF)?|fNY)fkTn*K-k26@C5lN_i(Ln*fDi~0exJvunJcQ7{EwGd| zksl zNWanX_6~~ue!W4$6oQL*#xpiV-K+!G*gIipOle_;@j*;X`f#t#$1EA)0V+!q z3q#Yi0~9}ve{mEVi4!C2->1P~767hC!CzeILa3(ljS^Fyr&sLMzjazJ*IC;;Qmm1j z1K~bc%-gt3&zir5!oCRAY&4g8VnS9NF@@SOaSze>2l4Hi;U_d4aMlGgWaQ`3XJc`3 z{VDDD7m%BA-7g8&<5HtA-YNb0H*e|HEIfpO7f^jn`He(mkg2-Q8d z-z2=3${Db3v-XR4;W4Nggo15P2n%(boIchXVMpR(eu}RB#emF(x8ifDj(1qE%QjTz zecw91x9@#z*gzQdKHRq1ox0y>ZqnAoD|bQ@vZxwmNtsw&j%X{35>U-AZszB%xRasd zY-j8)e8#3bxi<0_IiwzHi{@-Vzx|{`z{KN< z?5^j2XSh{G)|fE#>1KG82L)~oqiTnKY)RV$5z67Te4~COVISi&DiY!T6z``+Z@8b< ztwJujE27cwq8}x;VTRP*ND8;W60l7d==u|Cf7R>SWEZD4D6N*@qynTpb5>lh5LKJo zOnEhfX8{X#IgGEaMP)e=!IiM2*nM#IxH+de$yR5uf_t9;j_f5_bM_3dQplT~tay3x zH19H0eIr{IdSbFLy2Tgel01Na89otBCVn0^)B2Urgn1L!rX}tRR+n`a8oCeEMDH*> zf6TSDRW8HedV#ibC=ChxA|cR_fX_ac{;UJ>y1uPIiM^o=ZQwqMyB-|<-8+*?yefvT zz(x>&5_v@MJA+WPw5~-2vR7Hoe*~%j+N+OKAVAuTWl1{@`0kTwt}BIAZo3x7 zz8W~H`!n2Y5P5(Z09|q(to>5bk-~6jHWRLQ)x+fwn|4++xAg6>4PU&E2TXEa7j+f` z*}SpD^J4(FmTn#*YBV^^kr}!sHCKm>Na>U0jSTZS0)^ivqBzpVZQnekod8IAf7u2E zkro}k+dRDPUZl^T9egpzt0k24H~6AeW3Urjlq^+YuqXFd+}d6;)C;W|z9w0+S<9;BAof z6Qnnu>@v=0eq0ReplO7EgYSVDfBo?W;w`sqof_UJ+Ag&3nx#aL^%Gbd^GMrv^)yx> z|E+9_!!vFFK#`(rSRB+>)&A-p7OAe=D{ppicB0&!{<>k_7zs1o4X1nCR(VjtqRPoJDR+n>Z%uhIO`ta=0 z^79w;23&VbQ+Jj*1Kp&Jf2#h{n{sNc#W+EaY@R`kmarHw<#RPm4UiG~Ce>~r{;n;~ z$m_c8cCYvZg7zcD-K?fIJJinU6ZTvu8hS+{HiXjan2_|vYub}ceaxpwXQY&9&NN7H z6k0hG+rSKY16^CJ)_{pGq2G;nvAZEjpbPtbnfF(*19 z6&mDnt5auxigXN@4D`Euu=HnVJs#WoaY3cxgG>g&-}|=jGeh#b#FeDq2{Qynr;0*E zA+TiD3lAiQm2g6Nf4%&sqwzt1ExITupM*jyk1|C*+B{5;IRp1g6_gLx`zQD zhkB^UC3viM;0$;(n*|bMz(d?;V1V@bj3u@$U84DBS($Da=R&)pWiSbBj$6dKWH{JY zxYmotgEeXOD^oyco8VUt7HEtr;UJXjDx<|khnzz6na^?t^T5b-v@it4;OS-KnjwpW z4>CJ2%2(3Pf4a8UHxZuFK@lWg&r=&7{mV*~iaOXk3gX&0t`8QN&?3*!F`F)_`h@cb zNRUL@e9Ro~saLQ5@=#%EkUJrEbh*Nw7`zG@A>ki-Br+*Dr{c(kOTM@1SLy$A8szBaH5MWQjYpX+}?&~e+rqlv28XQo5*0!!NLL`z&LJs zTARQqqDvE~7xip2EK6%;)(51e_&B=FozF(0LEN{*txJ(9WNu$8F97}Wl35NSOCW7ANeM2mx(jfpb{;T(o$;ighMKk$F} zkm|SpfBw6N=}zBfhGAw@@IlC@6p&pCATz%>hi3y zsj|$kKL~u@;gD6;E74o~pbc9V|JsIU@~9aif3;6dhXo@Irv5cP;dnB>&qTll(|;sh z;|x>Y20@4PlW8#pW}2HRnbkS;dKiaWW5uC_OW=%s)a{g<+8hM!*Lgp_s!hM`L|SsC zEe>LioXTTZ+D8gWH-*)C3hET}6`f6bPw6pvxU?~q)M+_Z)*DJ+x6_}UD*b9Na;_NN ze|)~^#_kw)VUG$*v0q`fyqk&Ptc|A?S`jdmt#2_Tj5e|YR<~h3i4xOpEiRIVJGZ9T ze>o~AMnNmKA}0&p2?sjMmV@P8iHx1;(a4wI z)Rdya&O~hv{qud%TuKL>Osd7Yw^n&QG~f?%CEISOTE7b+?S>&^@)tuv~gE*I%PjIdg(yiwU4NzFK?mz!fO~+vP7JGNb1+^#_jdkh{a(S&5!Uz z$)ekN&$u+}aum-EhuGA^5p4TX5f}QInL?{E_jI%#W4RotzyPy>O&6D5_2n*~Sa z>V1cS_AFyFFNz$(^>nA8uL<)rdTnf3m_gen&<>s&o6`xo8I7Hce}9Avf&WhE;`U@8 zLS~QFL?Cd>TVV2Nm#Vk*^22o2camQ&bueB`;oClJ5R{8bWgiIBaidtQ3diCi4xKC_ z%l%mOKSr=#vV6Bj@)&Z;;g_5>Ip;Hp+>tGdb6dQ~{8nVp2Y>L}UcCHX8ZD&e?c+VczoZiC01u1{}=f26Y;-q41muFhSkncBV^*QOa==kw__vNXo@nZ4q-I?!gYWwJhZ;F*0Xd0N9XX|k; zeY!jNv@6Ug_mmDE>Wxt7(oqZ}>J+9S_1GlJeluZF)IL~Pe;6;lK!zzm-LJuebVc;fY85B;7B@@vT;_;|Td&GsogD$_QB#hZq_yox zEZ{TPf8m02tKNxBK(csWYOnRCHupNG9)%&R6<-V;uf)>uutdt~A;qZ;fi}6jK5}pU z<&TI;gf5uR`ScWBF)9q@OZZBBr4%yM+2E9>_TEI+ftrjFajwXm6q;O>!pS>39C#Uv zxus<2)oI%}TukSVZSiwxnnIea@l)1nqH`oue{(Tp$ghTV+_fgYk1y$OM7`=0=h>x% zOTNuq2{mN8_7uzv)tahGsFTEOX{r}HW$E2vdR52vLeWSlvQhjN ze`fW6{S=G+!WLMQ*aSzl_=^hmCCCpz^GhQs=ks>=6+t(ob8`W%B9xSYr1WiDJEnOm zY#6a^Z?k*0J5_}=pKd4A{1kV)O3gT>kr2zbuoP4oCgmYg%$ zxYuUB9-Rl!g}zXyGK*3R^n1bzDR7l%b|pzCmddz-7;?FHM;ggkVlpJ-U{u_0f0(I5 zUgi>y>685Y0wkz{ zl2N~iPA$n>*W${a)|m)O!YeHnfAR=N{Xt^R+G4`Hc$-o{Xs1-8i)jl;W;DR5M!2md z_a=NOdbDols#BXFSmY_<~$H&^VFss$m7TQbnk0}g~ z0Wg)T%#$_LZ18o|4GD#HChcp0Y%e2w>j#z>?SbL6T3E}XA%AdBEE5Hne`39jRBk_U zo>oE^`v;{q+zimioB{g?`V)u!FVNQX&kNpEZn}qni9>o3CJZda&Y! zXTd0?qb`C~X1*M?@ys$JfYo-5-S=cLld-TXb%5G;#d|&lq*}GNvC9wUv^K#o$L~;60K8^Az!IV{5f5xXY^Y%J%CnLfi z2*-BewkO`@%*n+~mf;Qj=trf;Zhotkn2fmSm6AUk?TBE;4P+Q%+^Spjx*F8s)`vC% z&X2WSgw~ll2uVfeyN%(GcnczFhbb7&Y$UfViauyd&cc?Y+x#9_j<(&m2ps{JhWm+6 zz9lY;(f_6710xd)fAaZZP>h#f#0_bsX1LTaPF(l&fD?ebg2G^A@<${e?U_U6s$NGL zf_Rb50}9kcRLP|@bjPg!b*`R#+JPVD`eAt4mzU_AV?1=F&vPd7e!3F*ZKDKMcvC#+ zRtVL6o@t?P%`qrB-0Yr^ueIts@!)dPURIuS!w(fkv24o)e-N-UsJFooluli-mR$Dc z&9fscFwr550j^0pCh=8K_Q~iMa*DjMdUTD*w9eZ(LzbfZB+{Wv5k9{GQl}BmWD=6`Ii}Ck!o5R%3lpSoxOb(-dl2Y)s6Xprq6R4%enE3j~;H#UxSNXNB)k9nnYVhF=V zB&Qd?&K%3(y0J=Cg;`Sn(U^E=cTb)MxRS_uM0!|my7{h6EL6%-v9zTJa&RXvF&BhB?5*qMjg=OUJ?(aJLt#p}q}EIGHL5zSm+E0aRI>I5DF z98i{v`9nT*uKBE>tz%^`(AaLvT=sacnMxdRmEjgJ(}=WWUgmz9`XFO5f5ek$fu1xN zfA!^SMG|QHgPFX43!H3{SiY8n;6wjOp`pL?kv1~l^6kW=Y!i-qnQx&uFbZ%V#PqpK z!`-Bo_GsGFg`t7rW`&7lIxto5+sKs#9p>as7~1R$HaTrw<#clA#sDe7_mceiYovl) z7pSf~Yh9-Kj$)iIZ2~?BB`t6yON*8oe*g%m?sfPktlzVSxKtY750-xWb3A=m8cRS*=cI!uM z1yG(`Le4{V8iram!d1Co>N&{bjW$TCpF3h0t-!dx7wbYdYwFg|R0+eIHtM3#e?ULP z5pwc%zfPkR$|PLKMqO6pIxD_z*m%>uTK{ZC{u-yq{#KfRJ-Xd}(KiLJ zLZH6Z&7BqquS|nciK=8I7<1{$e{aN_N%FCNf7-)_Znw*#SF^p94%ap;pk{0yR>2vLDIDhwXKnbT|t_dMkl8ycsf-VBZYP- z;;cY8B`QOUXQ~RV+hAMK4wM+lkGyc^0;-^u`3IAPtzLuR$FxT=XXe<7IY@&icE zQdzST(7;V`(O_C6mtSe6K-WwYB$S|2Yw8{T@&r1@KGwd$zWhKo*BA1R(zMZ!)Jc3&bSqPD98X&jV$@eOzabTR`gmdce}%mj+pv@H z_vzWmUM9)2-O1;=%xwNhf1RcG{*heQ!#W?FJ_wkv_9oEbdR`q}tMOS%6hkATODNi` zA>(Oj9;sxQFV$Bh$dcnezVa+-V|1Prt&DP*KyEJ>FaJy&W$D6W|FdA{*Wl(ic?aze zn7DbzkhQj89?8NrhrxKc44ENDKofpsSVfV2o0ZZBLQqIt8UBxofBqp`F^Nr!Y6+f> z?-cY#F#HJo7$oYAg{`Ej65%FLcrZBXbKOFWx5n3&7{)~=dpPEX8b65ioBL|PHVCA` zt)cv)=A7n+l{n&PXL1k?Ej#dSa+I~nNsN!rIKz-|+4w%rJ}wQ?1B=w{`*+lN$U@!w z*aQ7eJ@5d;Jgs4Ge>v1#pm#cYp9*=4!f1f$ z*Ze+BD}uCNV?dsqyU|oy`5ZOB@dCxoazqRlyTUD?Z90%RL}}l`pFx74A zk2mKIQLo^@VbOr-F?<&2l){jr_puC|9Y|~E=cuMbdJ?yUe>Ow7&LWjXw-xQ;?^9bZ z$ynId=sTK8Uh=$5xfjDIY`>`uai?e-Uaj#&f;n<0EVUv54u_euou7|(9Hg<|Zv8OH ztQ_ncn(%NsOwrr7c|C%qizza{=R&hMjTXPvG7SZUuF|5 z!}FNGK(6$9y0XnFRbl+0KMgKp<_J= zi4W`b@M0{#NxdztkD-DT%xk9HTDYv9=NR3iRk5i$;EVEIwhLbDdZA_Ul5+$2y$WAY z4@PL*bm?xc#h6a$YE4``C5)!vKpzl|ZI%wv7_K}J?yW+di*}OKCrzZiT^>8kI8WeF zf7@#;&C=Pe*#uTHtpYJd`}GPjOvuKy-`|ZFz9DOikbn9NmZJfOZ|{;Coj%^qoKz+o zZQ(^I!AtHgQ-hRzZ=kWbdofx4v%dW!!C*9?9#*H3&JCNM%8MrH!vk|uf^FYiqw5(t zInK}ks<$g!X!`!nhh-u8u1R7fssf3JE~%1r)A?!3ftJM|njcOAC+#5)#790jj^ zD?Q%rT1F0WN{%JY==NDu&JzmZDpu4@5*i;;1|#F`8DgZjcG1SlaZ;O_5-WFcL0nlb;b<^fvg$f0g~x zIXee?aaA4##0nr1u01i6_g)+x*NOU(M5JOX<%=k}jiFF^+VhY*zc#u&ufp(4IT14C zPW5F;qj_#BLZ``b-f_04+-}auKNl+(+LXD0`)gamdK?qgedwUX&T)^NiJH!+D<`Qw z@zA}uJ)_UpFX-m!z*Y>-pB{STe{Tea{yHM!!}6Jc461@=Nz$cb$)MKPYmmGmAtktu9DKY3ZBmo(0E?yr+?n2e_WiBCElM` z&`1q6z_f)>`akPX+zc$DD`+11d-YcG;j20Re|2(3K>3l2(iyTW8foCB@>_LJs%Om2;&t7QcT_n9O z1cz)XW_eYyo?ghgNvhp3f4%ILv7Z(2h=RSw*1i&$gTdzhPf^(6r2V;;qf7;RH!7Ds z-PeNxo(6G62-|P5Zv^<2<&bd_kWrS;TO~iU^PWWRzax#UFE?4oXFle)TPE^!O<8P$ z987Z3o^n7rG2i@UelkHl)mWLGn3R6nyA9nj)C&_6-U<6zNhaCde^iYT1XmFjpDT@~ z5`=R-XmK^OX^F=2OOmi#)!poSrZNRNQ<~~)B9g56u|D%0nYEmI=GV@BoWiT{rdFKa zfiO3qY0{v4C1F;L_=zSE+=)zYk3^ zrxvg%8Hd_4l!|Zge;-XT0fV6}E)iycN?4@H3p$qyv7m~B)WH6$jiTeKtp*F^@;MLm zZ`-aXERvyxt*6?mq(YW}YJyg91!iZQ$5Ck#(Vj*Tf#qCO)+Ncq0jZr6DROkIKz4pN zB|BYJIx{izJ#t^5+Xa z2*-jVmye5S*?8i4y*OqwNxM0&3By0#8P?>7i4!Ae@&*Y#?mMqDTJiEbItM&p=qsKL zO87zlP#_oUe+BtB>T(xPPe-A^w-NP07J3b)``+UaClvjfF8}nzc*O3B7jWxwIPr1! z*N8u)rQJ9Z)0}v_J5CH+D#sf;Op;?I(lj5UJllC6$^=P7P!X>dG?w!@#j;wXbn|Bs zqwm9ysPm4vn^)$xo4tv*BK6H*mLc$>(uFYgm0Y~}e{tOFt%r>3%6Nc?p$`|03ZUK9 zvMj@OD|E7O`x(z}ZVB9RFAP8E&qEI|X<@;a=^I3j295x7lOP`x>n+$KeTGle+11=M-j|L6COPyyl^SB$~Uyk4y-NBSbxJ>LeZSKFi2NMYTc}XiL!q9 zYM185;oAA^X%a-AOPB7YnfrIILJD3Xn^6Pod|JHu;F9O9G13J{p$v6|Yd-%wte&rKKv= ze|C&6V1k~p`zAQ<>wQk_^I4lrhdU>&4AW>*34WW7LVJFhsjtzLG#~+^A`udYB?a4S z@P}O}tXDb_&pvi7CZ~q3ROk6d`fCV2JPm{@pHR(b2Dxb>t9#O^xVz*tYJmgLwc%+J zY~>0vm?>;(1=r)OQpwMChmTZ~THj1ze-Nk&>hY-YgJ;2xlJM6M2B?DRSKZ51ENEaQm6Pd*-G*= z+&X0bLj3rUF^RyAomZwtXvwZDOK&RVs!8z303D-a8Vbu{A+)G5; z;$}53zZ$+TWTK1}CV^NXI>8hZf3(#dKgOSCwl1!GE>=a%vK(mrsLqLWgS|PzrhT`O zlVhDcAE?yhK8z(Wf7_A;<@!8R24PdAru4N-l${JqNQF7{Ln9Rq0XNbGUR3zUTq-Ot z$^@^9lyjx|IXIObWSm_`6-djSm-UaO)?>8w@vTzE#YPL&V+3=jcGsN~e~+39tN9JR zQ{;B1kUA=K{2tXN2yA^XsE_MEm+j6?mU~)JR>8}#cn5yJ(G85ZW(F-}Koftoom z%sJC#?4hkM=SOou>T-`}1F1M4>R>tqmVVW){Tz_7(OUVH zV*p#;r`X=ATN{=0OP6e|+mIs|BAC(f4Z5aWs-l&z38wWcH!e1fe`PaIU6^Dk#{Bhh zj)e*Dwa9U7Fi$UMHG48uM|6e0_{HoFeIk4!p;{JnxRVM@UXVl!{bd`27?~s-b|@o5 zr)Y__-#ICdf`Q#|*ITnYX#J_(-~=)w^x~w#pOR7XcQ2M$+9oezrFY4TPR`Rgj2p8L z1pR4Era@WUh_@%Fe-+$=ji6@hY~C#EviuYplt~ETgxvRo*D%%oJfkeVSX|o9KHH3= zxKM7{Qkx3|aeYPHo5WhZW$Uhst#J;NZ`=?_As1#!nC%-iPkX)%j;H*&B0?d?aI)%-$9BYc*!lDqV#yz{2Re< z_2)Tzs#T@Ne_0NMnX4@o5|r@Zkfx@6-mWn|ME|d-B3}aao&|0vgx+qWAg|r^C!D6E zhg8Pzyh3DRCA1(gP{Qle+O2ZPQtg6k&=t*25X$!VTf0%qm4FJ(6NN|B$u)x9`WmHW) z_z9K5K;mV2h?0Du4C?R6u=n|}sWg0O%WxpMi}cmo?cRW}4IAA%Hpc0oA^*9XVa^E; zhCSiVGaNzBD9Qx=lrj2yWX1q|RN@1bgtup1o`RK>bj5xwi^4SF)3B>~vNWTH4!H|U zjjW+Af6-|-IIO#>H)eqfu4hv#1fd+w0Q|6{@7#zye1UUhBy@2^!!Fx~@Z`ZN%XHyJ zJ5rJ_Ja;^;%bIAt5nepfGiawJv6rzQgO7*~HFtRu0}1Sx?k5?KxT5>R;o@Ei*_VR} zbz&+egv=ZmtN0{Sr#dA!uY|r@K{r6?3sg8RuAOgxLa{~1O5iV$`1BZ{n;u6|rY!nUDSx1--o<){2+?O7^S z504Dx<5|o6Hc;t7aY+{&nv}dVbo|k|+`4c-ZO^px^n(F)n30wb=V2Rf+ScQPF35L34bF&W@9E5i_1GvM98LthVexwl2Q^)jqTNSVW4;l%UqL)#C z0TTf*lY#9Mx5_I4(=GxwGLwPr6SuNj0kS0lG?Rht6t{VR0lr)THj{zv6Sqs<0iGTK zIFo_x6Sw{Q0gp2RH!zcd?Gv}5F9Q4<0yi>~f$bBwvP%NN9sxF&bZP=hD>gDSF*Y?g zGd3$QGAA%FCn*XqO>bmGVRU66C`39kFfuYQHZn6YHZ?dim+5K(vKTcAFGgu{b95jy zF*yn^Ol59obZ8(lI5Cs|S}A|sTH9_LM-qMKSM=j90;}&gzzASjreegh9m$E~7{X*`}KROdPp`&Q3@3;V(bH(Jw4S`eNJ7bn?o;@a3V*coiNr5ZH2W?=qQ}a zLI>ep5=My-tk7A+U?3*4Qo5hjJ2-E8^7;6xZLZp>2=LuuPaZbY@y+CdnJqwB#*1JZGWthH@3 zxe}&azWCDaAGL$F5t;{jvX6y`r%#3K!!@x;^?|wTAp-KO>xe4q!<>9O8J`?At@sEV zdwn6_HD6kB+^ytxsM@b$)%F_#`%qMA4ORH3P*r}L;d>w|qt{U7ZwS@n2BvYVV2$|( z0@HG}xdU!Zjn;ouxw^ih>Q(xYDpxn`sA~NUo~&8r$*TX`YGv1|mEEdZ+3>&4fGQ3u z;F|(r;r%Ko9?DH#<0gIs+}xfcHSh&F4@B^@UK8?_~S*zHE0A>}Q#A!XdlUUhRFM&V1ZcM!JPCfvOB%RkoZ563! zmpZF=0>Z@H7JOBY=70xJ=Y>R|G%YKE7mQk7y0d>+UQ9%3va}2e8d&p8yCe67MBL@b z&Qg~2fC>a8;Kj_mdY04VLZ67rkVwMAH7K5rMv|cwc-BRCaVlhVb*q(9rExkCftZ5> zohg`Eqak`-pg?emR_Y?;B5`INt=Vba$>Cg>$%!dqtxA!O#BNc9*||UtMsi@B979RV z3_O3V#rYOm>oys(;1P4NYs*N)T}oa<%!f!T#G27J#7fAPgP(b3Ny}_`=gA|+6T88( zM<|twOsAPObp51h7W`v7E1g|#waR*FAh4^;!fQb5;5yK(n4;J$^#NrFn)j_?iYu8i zs~blerWrknpcI)}zQ{ODHNff2t({vbcNq{IX1QK}c$|j+>Zi=;S|mow6r7&ZptNNq zh0Kmg>%QdG{e=A>pTfr~=d=Lx8@{OQIz6Lcpc`jHfnB22V^ zBBQnDVC13hqBCADx~~GjS}J#?-)X6I@zTM6Nt#O~23z37Ql<^%P`S6>FXhy81eUhw zDs6cT5VxTe|CJBvcU+43s?tu^nInpyL0QzB8Z#FuW){6#s;=UTXHa*qTHb97+w?JO zt0-Dap($-g4^gKmWII@#U*)+KJOPWGTO>yhTf|X?Gth5udhUWU#&bLECAquAXZ^l^ z(8=MO=9hu)@mwI)>*FIhIgp9x3pF8Wi63+Z#*-_*?mM-;-dwiacb?Ya9GyzsLW=JdhDx8?!4cKPJ^1jnBzhSE?6M+u07e+Ue|J}Tc!?(4&62OoYct%ciYDr&9X9JenKv5R;m zYC$2`yQ&zf!3VVs_`O$u{WtGkGx&Hrc0J43s2>S>()U8|1NIpr10aVS0__q+vkk;o zzr8uw?E~WBCiglubFa#O2je_(LcSN_UNdS3RcZS1*5WkFr@^#^v!}nyPxsfVlkw%{ zpvt`FkDI}W@~=w4(O=F&`dN={aj#hF0`Cv`$IZBHPCt#xFkh8_?sC>nM}z6brrcf2 z-r%iau_a`iDVe*z4bLv5wb&m)E6WR1YB>iho74?i8e0)a;# zHWdJq#giN;Ml>CNA^d_u@cFPJ=hQ|LXUTjyXfNdD@akr%e#foq_s{!p_Fn9Lcho;T zpkLuOl<)+6)?jBq|F*!MaDi_Lomya18)CyR!BRD>PdB4c)5^=6B{a8`>K9ihaZqHVX=T+k*R2kO`<9>VNt2>xw<3X<) z_o+6n{oJ_A+Z$imL9E!etG10_vK#WN%_>3O6?(Fd%PYY6?6&3NKW7aAhDbSW;zX3NJ}+WMz0DTQDFuI9&=a zQ)zl-AU8M)FIOO2F(5M_FKwt4YKnHk*!;#@NBqi_pzT;ws#P)x=7^Kg%cI=RHW$Z<6xkFaeWbkfnmC zP1u1NY&bPe9oULo%3ytn%T1+L(sqcLaV;VfN2*0d`56p7ya^zy$_--FaGCEwb5UZ zXG%sZ8mkd-L!G4E;T_MBh9MtSJHV z{4gE(-GlQXI^o{o;nr%P77w(^=+V5UVx-^!eZg&!{bUfyJ>$|@r*SdNc04~&gB~&C z+5OlB?K&oImf!(rc#7o*KD)QPb~KCf@33|olQu>1@3zkRh`f1(R!j&en(TzhN;4j9 zYFl;8UFE8oH9M$|7@lh^=n|!7h|TnBWx!g#To6Rmpy8Q~T4T_ueO`{y3i@#x2x|qI zB58SC$yK`MtbdXBAye2<>s+k&{?%DxvDFx0b+F|)ML=*e!Z~PL@Iz93y|M7D-x|BaeDxyd6q-k(weO6)2?Co0aL-ndmLNM=j55*vf6x!0Gtm#Hpdv6nd{eP1h|uF#_@S0p1?=B+pP zwnaxn){*V!IZ2S1`ja}>9M_S}#5O+x8see%0yBRJ={os#Sp@?@Zh~Yh$ztP^NXI~E ztiK&8l?ItClfT)JGQe4_E;MB#@nQ1T$!=s(z&|y(6@K=`Bofdt{d^T=l`KW$P0Dbd z9D^qa{z4x)gnk3hBW1va7|TS8Fnp0x9tFumYb8Vr-^s|^AGq@<{FEnUaDmFm<0TWG zi%at;(B<66;CZXdL}q?cOMGEz=?AHaNhG1?{37)^S&fd#Dw!UvM~kh=3;RNkJUjwQ zc#;)K${??*{SAR|3>5j{{6C!fGVy8NaZ0i1Hl9%pvW8C{}NB@B0-f8h=*Zn@c&;;8oXcV!-oE^q%}8lAb8aPAb93~ zgAWAo#Q+{NIaO^l_;yY>_YAp6W9Fe>@-)53vpY;FAGLA3=e|3~mtwAKS3~gC0Y!;l zZ+CBcf-_xj5*-e@KhgBG^ztn1+n8l)gwpSZUc*!6GK0A(LAOi3_vA6$>X1!*NlW+0 zRQee-@0cqq3(%~ARZHDgvX8wz-YF$=_zPC!v;Jx!H>L%1Ex1_0>qsqqn!Lv;*(fbm z-X2>T!q3`D*5SIb+i@#Qz5Ap5$I0Ea24jYGqbF&>Uv>gNHw*UACAz$Wg=WUwOv@Yl zo#~Nh{+@QZPj9cM2sZsL;nn5``=6i3Pa~ezD%{&O`%(=*D|#Q<3F4Z25->vz5)xFs zmM43|dhkD_lQe~csL-jyem~1SBVZ)ny5j1W+~|c<(GYy@`3e0&RpG? z5*ug`j^UNiCtI8+Tcjsj`2WwA>iOkABFMK-B%;E4UIp|a0B$1E0VZ`30;=x+Nc?#M zje>>uyn<8FJ&6kXv06y}G88-5CtlU-g(m*blb|SIHfNj!UCfmNll7vYV);b9cR48C7B~>D}FGlwl6(-Pe&1p$^GKq|lu&MWo~C z{*Kd_XE&ij5!0ApIu)Kw!Wp-8@fT6F3Vq5vTym+|Ryb)~QfUh|$YJ~E)0lMlVIX|r zv92tirzJQ1>llIPy(g^e~qMu1!|6q0iAjlE~=yZEdC zx2Wdo3U}6h*A;MgRSh#NzdPQ_D5|Q=r554Pc=0#k>cIBqk<)k^_Ce~;1@%0P|Ll6T z^{#0i{qvdV8oLQuSCzusJr%Kg9ICd~yI)40$7LRu2P=PVvI*Z%M!(kS{K0Ob_JPS5 z=5?k*>6m&d(G|w!@C^I!9P8a5x2~4HqSR)LU2EbT1>Iby4*$zp8|!yvsqzVys|;tI zYipt#DxDU$y_p;5cDc~pkkaZz@R%j{o0+CCBwvF%^+HyXxDi#_ zM4D}YP7^(ZucFc%Q)x(H-+s`sI%PrwlL2xgG$7AmFz8j{=uT?B)~Vkb1LoCsJ@)TW zmC({1gzwb4$4L5@aZ|#C0=9+=EPOHiQ}hO+auLg_lL1#UfGgV>|5P_)o0;6P^SV!T zL}7U4J+=e9se+fPYo!|3{@Z2+8sVAQcQ`d%$)<#JJcZ4prQx@8LWJnIXSOSpC{{sX zBd{20HcSTHn;<~Tv6iWS83x&1P5&@b?Ce5piwoWWPCps!f-pA8urbfM*y+*(U2Mmh zRfm_VB|ww#2^h){Ys1l+OE=TRvY@o<^jn{4!NFQaayurRpQkQ%lXJ^#|2=GZ);nqA)XmVxu;4Ov&C6TDKT9kUg2)J!#FW%xg)~9i6-d zSuDwKEQHVqk#R*?=xJzMwX`uO!Bqp*=zG(+cZ{A!@p;d`K+^dvEsKc?A$d{AG3v~7 z$tfjdaPH^mlqAvzq#6Uy__!?bU~0UkAvSP5jRDmM;zIjBh=mZHVy9yS2_!=-qQ%V4K00=Pkr!5?X zIC|gFYY_1yGV>hR@l_Wd9cjJRXiTdeOLizcy5P4w!wpx7PJrmey#EqUKev=DF9lAf zd6~&<4(D(jmMV;wkEbvn{_45ESqPEpQSGr1)EIaR#7RdOhQ|UVC+y(75E6u*fGD4; zM2h0(4fw}11DUC3GH(@gI1Nj&U@~aFu^uKV{UYf5T zR)4NzhLN{6XKSa|4%s0*noXZwf%DibxahpOw>wTS(qoRY^=jYN=+%xTI()LPv&c?k z2>x~WF8SRMSCHag((%MB1zWoz#Jn#Ld2;Cu7M6u02HcTom&DTQnGEKu1;7Z6d5Lp) zrtVWY=4?-*yyo`+ToxW+1exthL{r`C1Wm#Ebh(j>0~wMc@*eUauk40MN|8SS4RaN( z{<55bRfDg_;>Fze05!Hj{U`AZK>Z)`bU!s%U}|G1GMY)>N!MTkpmL&5Kr>uLLv7{~ z!R=ANs7q*xGpayWalo{p+5%6z-h`mcn*FK%i9r1WaM|7fGGb=?V2NnDvH%GqfYY5= zOcY;RE=~D_DRkYTQ?a|)N&jU?0%XOlVpVnKiEmuH)|Ss;QOFi=Uue%`nZdjaS=_Z8 zuBV>d*W zs>$HB1uW;s79`@JE5}+5`3kZQg8@L`pO zk^w#PJ5Lv2Fs#}%KPE|r>MVi3hP~4gL^F>t9lLpulV`gy&u!5XL$26|iIo2~fB!6e zSdc_AxwP6|EY>oNO|!}NTiE!=;Ca$oT0eiK{2rVFmhvSP^R!nOvlT+z?;u4DVlf!z zj5>k{<`L?IM8`!nqluvhqSFQ67~k#9>2X)t-rwZjE1pMR-KmbAnbi0V`S&Lhsp>|K z2HPjvCs}0BFVio&##^`+!FJqJSJ6IuXppRaY!P|3jlI@KP3InN`^#_oR2+1ir*hOJhk|i0Ge9Hm9FYO7T9oE#X%^pyfC$cq2vS^&P zs-O?H>lM+418=_26|bgseGWpGv?abS2fMV)B+jY%>ik-%N`Ov~R(C zS+SBxXp+gWl4^Y*=RWo&4zqFMu>Oh$bFR1FTV90HqHF1vWe;!*5|tVpD!sLvzGi)S zeB@cl8vvFnIp^$Qtf?0coNdo1Pb!8+sFRMzI%~fC0hbG!(VsPf$bfj}g;L-b$IV5n0y@l#^}m55S@bz2_^MrE4sV}yXaTC8bUKQZ+fryJ&&|k?(199@t7=h zTe|_ooG<5eQt5n8v0DML4@Yw`&&8yqk-@={%q&k*tzrZ(fe{)DOO-2#zs~Au-H$<2 z{qBuh7|COfsgA+J2$tTK&XJjm0c*04!lht@Da5&Cx;)y2wq^r}#d~e2Nn4{N!82Vk z@^Wh4K+4j=$fbd#NjZ7vK+5>cF*N}SHGra+*KPoQA_a_8DFF)ga#A36KnDv8r^GCv z1Bjgqh+WfoSJT64OgF7Gk`&0&aI~t@ljY|+(z}pOJwZJ=lDN@LCvB({w>j|VvoZ8; zY*;DA0cwwLo~;^lWA$>Wsm`+VwxGR(l&|ge1tpwxRasa9VOHtQUlON1rGcp&!aHo{ zgz){O6#?ewVBm8VOC4BH5d-$les0Np_8QS1AH#+vL$E&boV1cF@cIK&{*ki2kZS6( z(^v_L`OfjV;~FI{$$6}KCa=LzUWg5JY;J;U8L?i{>QF-G;0%f5TG4K5Ad|0JUY5)4 z&9K|o5W$=~`)Q@G0v0}caE9T)mR?NL?|d$*6MRi;LqGL2+~HxN6p>#%PFZIrqYMfNU#7Dw0zPH1cm)$$QCC6_=-wEz*PV|lN3$Q|3m-&iC*A8 z^gh$Ev$xW6N@|CxblY!3H0$R$We)HwFN0q)P0xNsF+p=h9`&<^NW91OH1X6*8JWXD-pQ*=iS1SK?-;&FF3aZ0_$ex18XprN>&q+)(h;+5w!%zBYI z^-+70kgeyTacj)tT?H0;OGpswh}xxL^*(d+5dO?g+ETBlU!Q>5I1Gfd`LFUBC-XJ(aU=!%dG|>Q(0KQOM!{8AQxcM~eW4^I zk1igfshJcPpZ+#U*;xWJmtZ>IJO+RyCF4j50L&yMPY~w+Kn{MVyCo*|<0!zuNZp1AD{w|iTE0-mtbbYn z@RxAwblCjO@j~?1->t}5iCLL@G6rqMIHq#1Ui4f#l~Jkq-USW2DwEDF=sL5TsL(>Q ze%ZwNxt_7;$cVM~4JSb{p*TJFB-!4RrH6xH??&I9%Fkowq#fP&-_5Ez8Ft zu8Dfq?jo+VtJd<&}9CkIdF1n1n+ID-AeiCUl9^_hh^=B z>-QWmaDFY!lFD~*cC1yV&3>I@m&!hTVr}_4dz@)^{lm#~Zusi2c(2Aq>HSq5gyu9;r4kSGI|Hy$-y*(^f8S?+znSI+5vTrkLHVKU zxhMsp%BsrQ&q3LRhlh>(?_0z%%BbQgIBqThe7JZ+QA){p5!|AJotbS}++vvA8?0oFbK`Kuv#z-88l{sO+k6Q;qOIzO@?Lfn)R=n}@cuS3HhIg`Zb-uOJsy=-#HFgAnxQKAQvJ*+= z^3#OD^v2;<=f@S9GcDF)9VHU+_4R2IyLbLc(upfHiaaEMF56U%79tS ze%iBhl?sTs8Gd}FPBVsKG#*SRQ{M}cDaaaM(l?a?NybDuC$FpJ(;+Ef5vb8oDu7vX zf5x&q;~~mR%Q)vH(>+H-7W7u_Lo3R}(;OZmHQ3B&rn5H`R)foNan!?-P(m|Hu?k3% z)m<8iKx#p_^RF`GB`u9@8Bx%g_n#(I&l^91y1R-?sH7vizp=84LWY7R6j%z9Kd38= z&*3qY;HddgFnAGgfNSCL@kcmOT+Gzg?;^3K$b;ez-7&2&3NhNpgC%6Ls%f7WBxhC> ze0v@y%|0ujz;(tl07Flv0Oij_QsAkQ2?`h}CL>BG#~iv-P=#XT2KR=92n9;U$lnM^ zMt4)nKn>pyn&qu)68#ZSl`F>Qr_WKgpNH$y^wr1^QqAvvEsa+X72Os8PO)r85R50Y z3TQ(Go==iXqiK6b#M{CXQ9_f2)FfXhFbf*ECg(A*T-i;+esb%&-i|=jT2Yn6-)Gb* zGsz3C~Mmyb!DD<_wB{ho1n)DPdQ(->cT(-s^z7;@?Z`HjUJU7Qy6?j|z3G)~O> z<>yupBWA-iwlOeT0x5;MAlMt0^V6~z7!Zbu_4~!n1eLj9q+C|kmw|bSZ$blM!d>Ms zLI}0bOqXIHc)K8Q{>x_I_3VW; zNLF!SEHXF5B+*u^PLrKjgx71k6G9kQ1n^3ytnO_tw(}vMY8tl!9Go58B@L24O$ej6 zsp7HD&q;e1Y$=2PUKY=Ercr(-Sy&lzZaG=e#DH1UKLH8~A={<%IG*Dx%qyS9kUZkoIH5^|aF zS$Ex2kHbxnO7JsV-^1eSEMKqAqac*wieO0N2*sr$498Vzoi;>2DrYV4?sufP`zMjy zOcMiil^0GZ@Jr5cag|u~R{~N=nW;UfAEUw}|0jzBofYS|2HLRaoxN8*0$F$VrfO7O zanc0x1&Dl2BINo$$nMLv65BsEYuQ;f$2oF>dekp}pwoibv?h?c#LY^4I65%UF=p#F z6jgOgKWAhG_o%zSY&WsRyCyc#F3!woU8+^z{^3PI@Tqh+EF-5{vprD`<9VHyZaX`h z=B_496mw)LN@y1B;LOP!<)!Cv;mUlSwf#;vExB+y*fm5_eeviJmtF}JY*sxug=KYG zoTTxi<<{7~=$%1aV|Qv%kQ1Tf5|Uz- z&9G|#zqU-NJLjkj9<~ors`9Z9Ld(Hp-#fW_D-9lyw*qJLM{K;>yD5@vUuM&uD=#y8 z_=SACWxbpXtNJWS?PpcR*+_m7#J7uzVWlBI_y>3Hn_!*U?6Wcyl-r5b&LOM8Jh1B0 zglA(?PpwL9okkY4=yt%PMT^|MtTARtoksp+)mSt5@FIMX;_ufcYhO#HP6tP%?WV^2 z>IT^3yKX<9x++eGUoOByNo`{OvVODXmA>oc+349V*tX9vQMRyU<5q*?jBd-Vj@8+_ zj9FS=ZTArx2*O3G?)sXrXjbTdC;Zv4Csj-(-IS`( z1Hp*g%XNo{@vKg^a}iC@*4|z-65X+X!!q{MP++Q+re1idO%^zurFt>_Rrr_9a~&2%UWl0!_WNVa-{j(?N7E^n!})$K}1J?)q5cu{c+u zeXOG6Mzl=9YbL6AE016LXvFiT+@{Tmf0K`flw=A1s0ZTH%>1mJxUbbsCvL2fcW#Y7 za7>~w99f_jWTTMvPEymg?@~Z|AupAam0|t2egS5mDUAnyx3Z8&%h@f$dLzf#&0Z~t zMY|ll!?|wMsN^d;eYO-pLeT~4J5RwCpdr(~P0pFM5C18nAEzrk6;}5Ux^Fx`g6)}Y zms>HYgxt<(`-)>A>5+NIMuhRTxBn;pkF+m2oftoa)m(dcf8}DBFG;iE=rMe!o3CwU z-X;h$-Au#{QIzpIKPpiY@p_3M06`iHL8bQ05{(E1 za<%tJ1bukP#h>LxLl&mzpd8(^q@Lh0{n}m$`y3A1eQWK4HHE&v@y_nLjqiS(871E~ zu0CTxh;REDhU*a?k(quJn^E|$_`jLKIJ5FFA+As31{!s{Qv~Jyzd@pil3Y&8o>;i& zIdC<`Lz=Jx@|3xy7e$QmI9meU=LTr%>p$Ouiy*7wn2IW%x^@_uEF^uTq=RUmLs+%` znX=yzM?Ea0A_1l%1c|F;JUWJt>{ErZ5&HAFn^C~!Nq(kd>@|2ec&egNgawE&z7leT zd$0v9zp?^Ci$CIu*Y*V-I{$Rl|`3X zS0Ma%ZXX5Ak_0m?XHVc3=7t*X^5`KbG0r?=3XcR?PGi4NWqjy@>qBRLV#OW$j(#() zoxBF#^&}6yjZmYcT+law(IIW1Y}isTdl9_0o=27!RFz`M2*JtY)qkU$Xh!a!7AoHSwN;V=Rl$L|*JSRe(@g=Q{J032XxnlBY+=nsl zH(B^1Xwf~W8{)V{_KXq{!})YLsn$Yohci$4qvk;B=*VTIT)RH1Fp>S8L|h3?M)Gc5 z13DzLFOAKGOi6eo+7*oRZV|~((eI-I(SJ0pI0imNt8J;c#fn1WsX|L|D$?;I)$23{ z+4k~#Fh81B&(vE_*3x{e$-uLkUauIYN-Eb+R$!<7-~fr)%i@>voXIvD3T30kOqO z_*zBh>ZXT+TX*(Ou18pRW!P|z+?To)h7m6lTXy}8OiJ#n@3TsK318jOuatl577h3I z$x#OIlgwXmfK6YO!?U?}I$x!}vd%H1}4A(5jKT}=${H_DW(EYh9j*o(Zo&#lIX6>UV1^motd^Hxm_l5ahFTaN4xBTssq!sDM50o(JPUa;j;cJnm7TTyFg!YN zcYwiQr77Nhl$KOI%z3Ys|8{;8**d=s_;&wV%~IXBW?o(S&VkMn5smI$QetW<8rwJX zVQv-m+&f`ut)!i31l+ylVG${3DH6&=ZxIXK9W+O`D((p!TI?v&%VCi>$sO&ZJl}=_ zp~~&tD%eF%oEMlbE;(?Gs?q8B%cnWH;XoKv{b{nqH{Lr;P7pF$qO!C9#SNQ#f`$nt zN)BN0&p#30A*J7bi(6uxRun$-=9`FQCIw^O4AaGLa0^PBb$lX%bf%BxXHoTQnhVTC zmz)Sqz=7<6q6O7!;~m`4gb8^8+#=DeBF^|fS3(mwudt&)mj@#LnH}xpJl|kLfpp64 zLMqfnzLVZhFMpB4t9_9-`6rUQq;%WjfYYp^k29$UB20f>hIQt=LHH4@4(?Pw2O-QG z&l%A_$Z8v2kHU$5f<3NB9LTxm9LZJr-n20+YF_*#%0in}Pir!ys?SC_-W9*|o($-S zy23w#T-l0+Ky}lz=pGglVDZzY^cDm%Jyd)VI6N2(4c)EN{W}lCMpwncXR*tXbKOK> zziOf9h9bVsCnwczgqs!|AYI3DC6;)vbVvDpL>cShATK7hpmjU<(KxSgJ;u~f)7FVPqA7hx^ftyZtxT zX8p)mPIzYYt8TE)dh%Lt%8CSe?jXWK)R8Y59iO8LP3^I(WkBy2^+KpG#7sJ>8ymfI z1ToC&9qR0>xEq}#V9;sf@txtXmD3ud&<-JV;d;9k+t&_D!g~9KLwNRm_|;dS?-X@- zt=ba}LGp{hH_54IFgX{Vsu;faNop$sA!I+{UF5|dc~z;G9i_bTjB87eH?Cj}32@~0 z8YP^+wpr|9FQG(LJMcTs5gsZB;N<$)m2UCfebp zDMT8lFlB5wr-PA1z2xU0RLhKsmp(RltW_zA@x$VFtpcgf$VmU~OOJQMGxT3)uNiqX zRZ6;K=8|RRe$PO#`E?pjH@V(@rTb!--ii3wTl#s7Dao$6%I+}4st;U1y)?>t@m;Zl z@exn@0*{S4*PONP`*WvAEPnYHStqcjsL9wQ6az*?J}$wh=g7>kthK7;*R>zkE_6t| zn_sKiNk8P|w-t;PW?#P;bd{mxM)bKq^h6Y!lEya<~<)Dn&!9jqgb-uxwd$5 z>sTg#N_jKAM$BKApSjw*;Vl$e*Ohu~Yd(Bc$d=KQ>aq5>qmg{9NKbv8VdJp0CVS+# zuuzuwuHR@ys_=DMyXpqV%t>tq|FTk@?N#g>4_`Y)SmcEl?Cz_H1Ap}8t>>RY`x{5! zg{s${`3j5mi&P%`l|E&{0>}uBUfRWE^->sXjpdHufzeNr-6GMeDOWNLM4Uf}$^tTbJTRL}Z@>#P6t3RWZ5 zM_*s5<+wubR@m%{QG401;pk=m_B!0ledB_Kkc4ibE2`_8YtIP+pg;MTH;ZR{^2*p) zqHJ9*&W?H!H#@TGyC*h56~lh#HqfZC&&9=2x@j*KMwKGencY(9h8Ud*RH*ub5Ph@@ zM}~aP*_fwMUu!v~3F36DU59kvba*s4d_&7YmiNGpkeieU>sTD{KG{j*TZjoB4#=@KP_#nTlhSuarpi#P^Q-}=bir_A=hGbP^ z;R91>VUhG&Tkv%SXDv)FGaO5Wak!A#N~N`(a94gJ{cyAkg(gz3=BJl$&2U21tyQzC zPvC*GlzGEj#X+>5m|BS-l2c1iOfKZtqJZ2NSc{zQJZ(Ona^B!&2fYei7UpkJVyT?c zi<&H%tk~nmMg&zf%m=O?F~LE&Us;fSvN7mXw@EdbN)fhgg%MMF{-k|8^s_OP=9(r< z;|il8G|E?2O+_selFE129N4-wMxS_DfpYs9gAQ^VQ-VJm+OvcuuH~Iy3#A}1WYOM# z1|vYmEw1yH;xEMrZJVR$`P ztq(zpBZ4Mt>{W}J5u?E(Ej@{qreNi+<@*8w>OF6c2(JH3l^;LTM6MeUQ5qB{cc8o&+As>Ole$0q`j4u9$T)4v#@it3|9^eF(J5UpNd&aJe*jP{d zlCzsRruFMh8F=_ezQG9QX@5g*qv$m;65Gf;b$&rsQ@XA+)rQ_DG_3V~ISeTC5~JU; z{wGIa54u*#ii(qaO_N(?AA7keD(oK5s+Yd*&r*;ZEhiA}(uu4_$O>V-J1=kR*5qnI zPE4m~pFUd?UdTX$4I#^oPJf;M%1HFKIMFxOUYI+4JXSFN-A=@){8RkT37=KzMiFJI zpoW+2#zoiaI7Sx3$iU@l{j;}xlm13H`<-GWb+s$U6|?Hv?s{Si{tF&hVi{t(F&oB8 z83*EQXZivo8eexUQ?7|&pVJ>is;d^KxudzglH+Wy*e1U4rW#P1FUU-+dwX+7?S52t z`MsDl&HcOK=3~41FEoUUJ&(V??q#h!ea!~xyoOk#M8HKy!ZQb+N@0&0i(TFR6LC*} z+Izp~2)KD!IieXxQUtJ22vG#sJCmlS|8w6KG+&uN`TI-*w$ZhLCh|9$Ze)*Lm=KE+ zD@&V!B{w`9Jsj&aVXCM$FxV_4N&)F3^69$9;$%1Js1)$+c0qejZtvZIZ`J#~GjuDR z<}}`00D*!vktm|DDV5OM-c#4RF)<)Y;k>aaP`O^)|HGiETG70OznYL`ifd<&E?hX6 z)x47)RP+PJya6B07VrMltYCdGeb2z=_G~NpI=S6xuCg|FtdQ%X7{TJI^uCj;;=#FLW%0p5k=0WrXT4c4mYoNqv_MbQ z-_f%jX0m}b?Wh!s!h4*)5vzySfRyGySu7{$?`k(Pqq9>dVl-gzRdYcPr<=oyv|*(u zF;p$&>&nTJ^z1Olo6Q4Z@Dne|ok23VOB)?k?*O#k?_X)@YZJBWB>dzj<(a@;$XaDQhc zEn8T^>2m*uk5l8XIETLtSr_4Y=?i*fqi&-!0we8uWXE-6JM;>_%(X*1uMX<}U`dbk zj<3=!{B`RTs^ruuEZS@@Zo71^JD!_oJyJT%ovu_P~+LAXi4E8J-``UtvT)7 znzcv0wTg8AcDp!lo}F}O!H=+L6KuvwSewJ3wNaxt)tJB0>9Nk;JN9;;uGHl#=V#;| z5&W{VV`Gl9j4kzP+~8n z{rCWtg)Deo?l4`)T?c;c;~r-cY%|v6(g?Yko9G-!VmJz^nJUJBucu9h%j0Qv!5odd zS4Hn?b{*;#sp(1rANdszvh+uRLY25($NF0@;!?!WQpu)ju#FerbAU& zQ#~bj$PIY7mN}a5>J5&sF9)Q>7f%Q4)-!wGxOFZ%LdsTiy^60HJNHVw`DEA*)knPf zRK|Z#ZgIci_L2sRVbM^TE;NwKtYhJ`5nA87zS=704` z{R~3I%h>M*|Ci=(Au#cf$g$t+@c(imVTR+s=aNQ+Nig<%Qf2t}=eGO$8@E`uA@TbvjIZ-ZKMr5OPN?;|+_&WIkxxKzNih6Z$S<>Z^Kj~L5k!x? z(kG_PwzG43xf2HZaVTWMEHSaQFB3T+&s^e0P&SoxIaQzGGCmXR-bVOER^B;?RBieq zq0T@Q_q59=QUb*F`CBtPbp)a5g9za(AHpJe-%s{-7T zB6P;im-r9DgmhB)F- zBa%TkZ&F6!m0|{UCmAH@3XcT3lDZ`m*E~l81V$T1!UbtZIjfq;tm^0!aFzd5Urogz zb%833-oef}+{W%&$ZE=PTghrTyCSxCoc8e^$L)x@P5YFY(Czww^GFADQf;`0vgoFL z^o&Iz~G96f#H;KD;BE+}5Ih7n>EKlDMBHU|D|+mP4{8cPyP&=b+1 z#cc5^42&RikqrRUa;8T6S&$=!RP?!#@M*~!c^-8A(`hNKwa=%7v z^z8$oa2BYX5dTA5OEk_e?Q&}74}?kZ%o93D8{wffx@kX4#SD!T!=){hCi#g->f9AJoINC`5NmXCyTSja^c zK=a_9w4!Q9rG2nU1>g!?s`C2qrd{+{n8Cz!tl(2A@f0}X&ma(PSszLM2rD=NEf|Ck z+!y}8`>&Or-VBVuY847i0kpr3lvgE@OcP)jphZ%J(%}Ous&=Ha>hokcureb?X+beH zpD{3k&4A`jCXpq08yP7_A_a%(G7N}6**~qo|6_0IKx_sW@h5z3!~3_xQ=U4U;olAi z?w`;=hiA8B;{8{L1Kv#J3Uw1xj!_vbqnhDn%#7Ai-t#S1QAQ05TG7CTIHy17#Jf<6 zJ&YDX|Hv(`S|0{^CUnao(Pvi^kpD~{0(I$#=K7^yp3VG$2&nieP;nyj7UO5^jN*c8 z(m7sF*_8mYtL%l#s2vTKqpgSc{R{y*%?{|)5Tc}<%(L^{|FMFMdjV}rWF8^$4vaR0 z#+e;C4rz56QlP%JfL`MOvgDDwSugka_mBr!qpx9rj ze#G*0sp9O{MB`kvVUP!ktN2vhf`5z4+7AP&tN3qqS%E(KfA>$-W&XFi1y9ulI`gTz z%s_SH|5IIHMR}h8Z*^0is>}Rub@QL98}(0hb(w+U0_Oh|_y3sx(A+#%2h#nt|D`}F zrnd{=-$MSq*8qr~_P`Stb>Ni)oI!rt`<|HmX&?XQPoZJ5>5me*f_wEL<3tyg?hn^Y zRnQ}rflBC+3C+OHWantaN(c7AX0n>S)hSDnB z8gzKb`IpByYlcs-T7CAhemG!zUT4*{`CZ1Yc>Mt0t3e%P*4a1;qsJghsUCN~s2Yi1 zK2!QtJiBA1BQ}$vr>=b6xEC@X@W3#>Rap7bE_(hoEY&XKN6h*A;jt}vAIv(Df8i$E9<03Cny>pdwn{647YMW@_3y+`%iwiwzKQ#Dvo^FJ6RY%ZmFm)b2^wE)bnL< zB~4lX1w*GHzptOI;vey`k%Vw^`I41e#L7}o+1!i;czRV!M~^`t?j9Rdv<12)+uvao z`Od$Mwy*&M9}j}Jc#P%8;LS4Jr^=d7Xm~%JfbgKjF+8M$EYk& ztFiCcGjX$jPi{M69ft1jsuH`#59hIr>?NGq!+MKO0xYNRq|FtHu6o_B)|TczWU(*j z9uuDavf2!FI%c{zCL_1KwQ)c2uRg49BKNAR+dp;;dwH?Jb&Nyj+m%--Ji=bm>AXl@15>b zYyta_u|F{vEs0CJ>gwaG4pfHs!&W+HTVht_iH&LgHj?~2XwQ*FjV6r6ywaGnT@6U1 zM_}hbcN>=IYiTckNiHRisB*3m6Y>B1z9u;~v+i*_k3E~=809ju0bFityAV{&>KI!# zddd@V=RJok_U`aYt^~&o%<_&?A?R`QQ%+3NqVP_3_0{cD7A_|k~?c7q%R|Y7u=?aR%QQ>uk>X6hx zC=R1Kvl`han$RUIuGtn_)A?9vqPrz8wJEwdT>c84SRKMV{bo z4wUGpiV0X|UZeDzB1278?>9wnS)6>Rk;;E*u5{6z1y0pYmDUJl@8!{Mm~-H~zG~t3 zg@L&qE0NfY%UW>Pyz+Fojxd_u1^-%ewo>^`3mT|@nP!w2y(B^WVIahn=EC3$(O_O} zY=5-5W?`T_^XR&2T3@0ew_aqSs6qMkRHviLXXRzR}c;{({&@FV?wH zk*{WE8}?<3<9#!}M=IilntA>Ej8V(WTG&e(k8`RiWN!ZIDRoHhXn1|#Xy%B*Yh)og zCQl}3P-{?0eMq9}mE@+X2g30Wu05BPqwv1mBO!#*CZz*U5U_@^w4Nge&qC!^XY)k$hw@YcYB( z%cl2qlPC9cV*zp$-zq~Y5%DQ3PwB5}dtu90YsI%Kv8>`31|CvkRqVs#a#p6J30%D0 zlMB276uzuI+(M4vgjdTdX9#cFT=_*L7+z{j&dSPXSBI3cmr@lpu^=hge^e{9W!d;S zr=06)ekZBp!VR0O{;5_YzUpTPJ0+^#OuTqxtN(0b3^Tr|(kXj5@Tgm@T*{vH>s-J1 z$ohEmaC~q*QFh$=c#(VR=;)-=s)C_wGU3#yb9juB-O-5#Gw*1MDO+AyEow3P9`MJZ zy~2-AP}Y#^oqm%{8Jk;pW8(@P;R}3DfM-gNE?z~fxH4*D$%2qVgg(FX{i6dPRruaXC4MFU zq2n(n(xfyp5&^p3M%0evw`gw_geS(x*V`&$;ImwQd=h@!ZO>Bs#TE@%tL>&g*p4a zuWX5`o7BYsZ)3sd{GcdR&_qr%in<8GfBsH$=a3k~V1k-GA1)Sf3%lQ)qg5ZLO}uuC zsORJQvo97Vsflr@yu=U=3QMNU8xLc2k5G6EM#WFS!VPru2`~Oe5X6PQkAn*-@{EXr z(4z7H6SJ4fr#OVU5;$RWlyom_UxXJU5<=Fw@ON9m5+NF}6?-sTxl(}0l$*OJ;@joUiucr))AO7n zUt}!5?b;Gk^>FU*0{J@m(E&LFE341fubd;l`yZKnHYUkI$A^^RCj|+L$mS?&$!NqG3asDF==h#yHX zbOqAOw}SRbz*TGOimY((g!#ds7RbM^JOS#})u)u2bVU$p%$vkt&Q>gT6h^J}!&#SS zWo0uBznU~IfFuPlZG(qm$v*9oYjqw6khHSLP;)1TtiF(VBMek*i&<{J89yV!JYKLH zC%k9HE;=csLS>Z->k{>UUH&U*?;#nJZiQTuR~AW1zJ3%wFZ<-PO1ZB5+>i0R4f46` zRL_T-A$XB->x;cVBQrWMnwyN&7ZSzRCI`({F@nn$b}`vfn(~@wZbG_l?uXpJ!cN(= zQf}X5ZQYNQzgqzMqJP_quC`)iao1@*%v!cNW}U9j^JO_VoT0V$9~{IQr`R4kw}m2* zONtsE`KV@;yGA-+w1oKQI}6f$`Z46jHQVP3XLJ}fVSVTkc-3rQM{&1xA?TFlR{i{8 z9hj?FLlJEY=wORdPx-*FYj|DRAowXyRd*Xbox>J*#H$4olegL`aLj*Srqta|Yq-1% zd;{(pSHze(ra2*}Oei0;5@S}7t_K?ouI<}~LF$!$u35|eaaUJNdYaXFIze^K({81;IBu8p4zz4Eb7?!(_>*~Jc0$R%VT4``a~Up6e8cEXv?!Y|-~e(^JdK`6bs%X8B$?Lh z7pILYh-ed#_2uk*l(^!pYK29$9i1LfjO+6s@FQ($(&diU8is|_*`3|ryDWGsKqoxy zWKu%YUGFoZhVav)|1Mb7X1<WJ@DY!a(`mcqEmqNrMrlQ*KlvCTr((+kUZgT#cH56Fc2T-@XHU+n+ncv8hl5! zuUB~b^-^?&k$*9_E_pW^9C{w)F$!k5-m>EeaoZ;;^X7QXUFoqW&XHj`yJ~Ka${WnP zim&bK9!C-;eVbjtlOS6^t;HoY^+u@cTuS&sunF715bGTg7}SZ&b^oZ0`G$nwA^C9RO<;Z+Qt>c6)80KbaYh3gcT`jYxLtBI3j(d z?tIo`fAREAFIHX|^=A?aASbNwgjx~$6Dkf3IHx{^s=MPL!FJhcncsTgAWl4iJV^+T zTS0gx;D4nO5K$;eQc|0LB`&V~3wWIf0|mm!L6|~6>YhL@$=y~=~iVIS_rzQLjjtiih9 zOlq6&(_^JFT711M>A8PKYl(GQCXp%7{|)(iXM(oCpZ%lm1g&XU7P`D-;BVUx zYFIUhgn>M9+5GbGd*|e4acqdj%x|q?5hwQq`oXEHQz>eKwz$I06RQRlTr(%uCL$|{ z1IpPF$xp#+jfxd7nJym4363F{=B!xpxlaYPU|J;&b#g`t0e@tj0Ce6|1p;!$;7=e# z7#POOmGXWp9G8KB6|#%y4p>rs+N#))<-oVzHi)_Q&fJw ztfz!2kvG*7 zDgM^$PAAa0A*p6JKr`-ZSqa3@9@;%Mh?baNzIo>c+}>^&auIuH`jn`~3uROwhx|hB zJN(YZ{HbRo(>VbDWrXEhlL5WS1?Z1;25##gNfw1m+q|rc+hg3$${7}W`jh*mqDxct z9)dkV$1Iy1Y#bI-uth=s1dUrxsgXr81K&9ZQxAZ5QKqQ;xf*Upkl7cb-Hl_8?$wNfh^ z<5Q-cNlDE`k4YtDn<3D5fUj;vB+_t4WKyUW#SsYBHuQ^tyaKm~wcpVy)BVetEevu( z)x$2BN?@G~?6MIT9wltuH}KHJUrm70gqq1~e|~>$L&*sCS0>i-)tP=z0wq9!5@c#+ zEZK#)2XCON!E#Um5M~lwGu^;XXQ0Qx`2RmtnTU(2sV8luL2aa;w0S2Gu5FmzsQwEt ziMGcxU_Z>n=N;W%Ays$!*VuRu1GIz@SkWmU#q#}7Ep#58^$dse4=-=NT_?$-r%MOl zx(WWGmu+~d!_m+4pa{bB*t-WRjgP66O+`(gt@MN*D;C`x2;U1K=WN??W5~wXwZJ~6 zn6Y^95qS6UEUm4Uq|;n0;Hnr}48sId98Kzu?okQ^VH84;E;@#L_PokclyM>vK)l>-jn`BCGgNjMP z!eltw<}$tprX+Mp?UYMKz`t2HAAG7W0p&ggmPg%Fm?eRw0BdxQx~Blt_(w2r7RpZo zaut&u58M|rxqu5pr7#6>wXSirvN(mU;-b%%*4D_2+>;tbU+4^P7XRE%+wj)-9#3ez zF!h8g3oXakj^V)RCNIG}m9@?llU}#UhbJga%oW0TJQS;NdA>=)GYZ+%33)XXYe{zc zj#*05e2D_`24aT#=i9UWB=-y~WezD~zoT;*Y3Ww{81Z!R5Kdk|#s`l#uCkjUl{uE~ zjgqRNw9+QWA6SKuKc-GV#0`A_y+(-F(p;*E!`MZ_n^X4t|~!6f7D}$=FmuZ7i6it~;Q0?KLOSCb*swfa zO$BKRf(qS}w9wEzPxAcA!)#=>#k#ndNm`BR*1^W^O;cR8ZF6^4SdrMxKl=?j(TU*G zzavVR39jT|Ga8Oufl_OYlswOH{Z)IENkDumH9$)DwBgW(!X2)dCTWNALk>(>@j}fj z=>PT`3gjrK6R3UcPzvNo6^FI=enMsUAW*PnX?1JAV40`8Hn*Xk7?~kC@1vVazK7^< zZJY8KZ0e551^LzPW$Kw#Fdxb?Deu^L#Jr(syQFgO^UdWh?bXj6A~SQX1aLX{Eu;1X zKWM!h7K2JPHU0Fto$37SQ4$iuXUx$;6)`3TWhnk2;@D8z82bLs?j7zCoBZ$aqt=F3Oyv1;rc2M`f|13PYe`!F*`J9u! zPd~cc=y~=6hsf^sXI|*Xoh$$rx2gEj1$L|RS#0Q!<%k$0Tc=Q!UFQr?g%7(o*>hT+Qj({~HAv6!@RdnOYKob6n(w*b8Z{C8Bw_6v~ zqSLOew>98b-tT=%mNfB^Ry5&dJ7cf+ZiBznjk%TH8?F(2Eph!d(#!=ckAe$-y7m@j zK}MmoFR^xyPAzBtD)}Gu;vP``A{aW%93Jv|WnG&4_#6fTfrcaATW#LfZA-r|>Bd}% zBJP@9ibn5mM3R4?YoN(ASe^pTe&5XfoDu4$f6)gnP9L2yen&BO8$cM5qO__XpZWA#Y?P0)yswnJUZiJX||-J3B8e`j2?~&3MOTyMSsi!k1AKC!l%L#M^(wmy7)9h62fytdR4>ZGvxhhT1S?}MHt09gn zp5Dek~?NSdPp2r_3a#rh~E4%K6X>9PHdc>*(4JCyV11;y!$b)r)O9B zE_nI(+p+w_FErGbm2HN4ZFlb1&Q}%-{Px~MW~=hVA4@+Yx|Q>bRG!l;T1J%~91iu<+-#0L+$YGz+z1`lRdPGHEnap1dcP`S zXo3^=~G@`cVBP4=iVw=;cIl!6V!~< z{0O3kiRu^@1tk!7egoKFP#vMhmx{Y*VC)@_2S^G8TQM+eeRssj$HMpHeu$Hb$xO{Fel zdx)#PTl**49P_2`vd3~L3^%4e<@n5=qdqz|uM97#;E8l}T~Pr6QPR-+h?je~h3_{) z|Iiihfm~9dQ8rsyGjvmT#$O#841^^4%VZ*ikeRtlFB!UV`TD7#6mQcUO9-cvso=u{ ztFKQxi^;mR7txuI@}($k%0G6Y{^_Q4Mw!N@f>b11Qb9HoS4D%SZ4J+rYIyR_ww2_y z8%)Js6F6-~DFVf+#Ya@$Ka2c@XjaFdz1|q4gtuvGK5x zrpU!b(HCfYZ&+7>#$^LL!{1yE6}MGdLRMZ{>fXTh&CNQyjhUz2*I2E)UmWxZ)_%9pv4#J7M zVz*{0t$Fj0xW1kZ*UfaRX8qu_;d4un?Q#hZN@~;gJCU`iTyxPEdbu(}x}Ym>Vk)$w zJoNtg#dlyDUbCuZT3XAd9vQ!PRiTtBf5j?b;7jt4g{s`?-6^KVz?4F+TQc?8dzPzg zHIlJ6?nUcMlW%u;Q=#>cuKc>?=r84+jyN=-4f`Wo2SUar7_+&n=X7-*WMmV~w!TgK zEPX2ZOasPnj>KHVMqkFWo;~c=8Cn)vr`9p9_5}gG-FxH}EM1$NU$F?6r5AkaJ&1&Q z({zOEJkBTI*|lBN4V9KYEFb?e|8^+m?F}?6b_9D}(VfezsJsLj`|9aJQL8%xsL%bOhMCI&JuWqn~1Q?P%u7 zJ!AjXF!GfGcd#q!r;xmk6qhnQ*Dk9eeUw$sf@}wLH;o~=a1MjLjH4>^uE$_)!ARsQDa>dxo;B}jHO$}~I&RTMP`(qi` zM#H+Px_sSRgT34CfX+Y%xzfp=*u2TzCh8$*n)L^Uxa4O~lEaDCk8b)IA~qzgE3Bk# z7BYo*%f*CW?Dqp_aY&lJ%zKk*x2%%*6MW-mY+|G}AHf27G(nRTyTIX;}=6PH3_}9~`#RsTf>^+E# ztcO3Qm?Zl-p1NrJOY_Si%zp0hX#E1HeOxD-{O$Usgu*bpf2K3V+ZV|%?rSgaR}Abz zM7A~C>E+D_IRvLwA^j5tp?Cqzt*UFMt4CXcyEk5ta&t$tfu{QoUw4ef4PfJndqvQL z$_mBOae7{#`i(H2l33r{x5=allIt$DN-Vp6NueE?)G_S)Up#9a4mJ>l*8OdPbhbM5 zFurBaR8fUoLMUxGesL5>s>w%^?0~oD)>MR>?46uE7raRLS+rZmTdMnujpB$0)fxxA zRH4@+Rl)u#0qD$v#_a3pu(>59SZp1S<*cg5O!+v!2Ie4)R{4tx(bHkiWBg?2^7w<0 zEI*7WB$#NvxHFR)wFZ1oG8gbnCslD~1W$5)1{QqAhD4;~+6nDWg8G0OAS&_el~H*A zGFiSY*dPogi z{RJ|5BP5WL(~XG!r4TC#zhY#zsmf+Y4yTJI3PdkckW~eGleDW_-Y6ism4{&5YiMIL zR~+#dR+*^y3k@Uwej zEt-4}&!4mZnD#pd}c8m!;y^*;o@N?jy2`E~Xsjc0B$ zK|#&*Jr*1L4o`IC*nzC2?ljZXgcP~Cr5A5+e(VZTW|qi2M#wSEE268pvzm92YUTlx zLie_-m#uOv8R-`zy6a~!v7``V*w071q}Si;gbJe65Rbj<$ zED1Pe%+4Jijzi3Sg_n&!m_V<{&S$v}JC%m9{|{e8&L99XMw~KMf%wKcG3@UUOG8fc zt3bqR%92-jW#oeionW=T8n9Xw2#?NDJWM&1JxDOX4wm3;RMK7~%Or^+(5P_4-8{QC4(70;YPN zzni40z&BQXxj z3(KxaWs`zoI}EZu4Fmw>tPm4Hq?wH&+(e}#pI1FZ^&Uyx;t0&piBv)gRVfB@H}ZfX zFO?2K=RhLT#^*C9)kIR4HR;KQe`8dL*;QgRC|^bjHF6qGG}b0kA`1rtn=>R0!dVJ4 z8e}aaOf%r}`r}h=X+MOB0(;%CyR4a+9@&kTbO7H^aXDR|pWHL#Z+HZSQ z)dhifV7K6@{Pf?F$K*9fRRNoZ$Apl~%NCOk2`UL-%Y(%~8!Dy*A&W~=A@DXlMlX_O zl*s}#x)N2jK}2n20dvsc>axaQEmNzhL^^E{J{W}mT%e*JVnzg(`~^s>DBt83Vs?}m zgI!|Q?#$_*4go!lEw2gy;j4u~`0D?KCzb-?FPOeS7MIuTGT1&!Py|IqOK>sas?VSl zfc@e0`l>Ib2b(#!4CO^cO&;sZr^{`lG?|-YLmv~y=ArY)dMDo}K z=BSe$GGqf&eT4GFc;<7eBK52caiG1;cmUN9aSdE^Mh#4M7(eqMZb?@p1ib`@Eq1Dz zwaX8idr&@?6w0HZlxPeMCHa>P@rjK*_aCDX_eqn5Xhl`n@`Z_X5s1BPw)-`#|FP|>AgZyb~ zRb{a;=JIq-!JX7YJPYdj%CfjvHym=3G(&zoR0avEN#Dw)F={1p8iPR74(Fg0X*qz_X)QQKETpA|OL05>%xXfGwq6Q!<_s^z^7)#T$+y z2#onlQAzFqYMBJErTs)IBTonW=7yv1Nt*HWxS75>V)=lHQa4!USf5!->t4tBq;tj~~ zJs%%D^3km^St3R`HYDsgk;tjl8?;hIV_fp96SXkE9>RQXe~+q;g(u6y)Rx4X1Jv!yoraJT zV_K4LYJ!|aAZJcHYN1&z$jSV~`6$D~R1R{w?Pgh)(6>1jc37D!?H*p^6>{HZnsO=G za~zL4z-Mlh<0H3hX@jrm{;sNu_C9=uaEN_uLVx3laEtpFlZgvlcJp z_?F|QUbKwfH&PT?K58>D`pV)ju5c|uyDKh(-=i-Kue2$0UH4O&xWF7y6%E438^J7l zE*($T^w+DcZ6kHuE5jEK>JMKXwv)H5!v7>Uf01nUIotRGtSW9tF1|S#dDYMyw8&j| zaeA8~HeYZWDH5TcJ~EP)0vsudS+LI@9Pd=NUH|E&2^4WpnIJTI|A?J{!<}*W(Dh~Y z-l<`WO^(8WrnPArQ%3ae{&HG3VZ_o9_B;kTjun>^5=nh=7tyJpycZuyiLz;)PgJ+>nxP2*Y!aP@voNu=IPhi+JKl z;$5|eWp2j$t5kPF>(pc_^#q&jeMc0P-ef%PJyMO#BFZJdk`VHe?|IhFSVYloL0c&U zO{SA$>94S|Yvlt8bs00Q{rBbN#EUtZ`eslMCJFD?RtbX13#&}6ou5w&E&M}UQ|9Ta7wrT4voxb(A|-`0-WL8xiMIE$DEUuqDcb(QP1%om%g56ui^7mL?( z_LLSc3xr{FY*R*u>{0)KT~=+gfxDMmDHlU_<6=jx--n>gr_Q&(E_*{y39p$#A9@7z zx$g1+63z^thEf}6vT6k((?Ko5rSyDdY}Z$pc~{ax1B zVDIM2!Ugx^rp|zXEjK|chiwSqH0^b6)Zrl)`TQ=7=Xze5<@b`jw zrB#^vFxSFPZH!G|?~v!Lu-D9AC%f^vROe8jmhm7E9k6aJKc_n4BVbcRul0UGpy^z@0 zW7!ZYC$0;XBVFfeOR&7nN{PSZ9tLJYebU**a)&q5H{rbc+BDCJHTA%X6>Zv z!{dq1N*+5BdmaPXz9E#5Tf>0lR<>_p5xrg|Yy?%H5L2cPgK)_qU@rH5Kc_NOWwQ1O zFcUm68P8{;Y&HaKaxkwuD6jezzj=1aIFC8}%(9;oC(3`=%ya(3h6$?0Nu=1_9luUjVl2rC8K6@RfsM|Z(Q9A{K#8p&;srSajGO?vfHtxfB&Z;J zK)Q_Fy2>Jd05>^+nV{M_%=9{npR7}D+>BlmOF$cA!VFfTGH!-X986vo^dAD=e`>=| zn8Ce~N>I=SBjnYD8R~(6O%4B+$2@=9ZF#z_&JPI>c2h15U*t?%3{_ctb7pT*!0i>; zu@TFlV5}QX{F_Am+~4@-DXh1o(mHG?-ng&llVL9trP6<|#8fbK3AL)#VKsI0w>ur=rx4PPf?Oho5NT!-&MfOdGdv0?6Z$S98Tj;C3mwjrF9$PZ|3#V^J{k#BG`=Q8!kJ zCWN39r1m@VGNZ_7XDM_AqABIqktPHaml+Cu0N?$5a?_0(5J#G^oZvBnCkTJu%P;jf zZ8Zpr*5=WZWTT+coHN$Y)-mH&3>*m-)77F)?1G*2b(q}=-gJ)pK4dc2F$ zU5jh(x<2HvKGfoav#K!QHJ4Tyy$LZ)xo#YuHE$J#oN3hdxHKy4m&)!_C&k`+p67G4 zA?7yCtjpu=SBg$vKfEz1v5sUwGHY)y{nQGd5G!x*#EiqFO{3Tqpa9*h6HW@GE_+u% z+ldHcZxD`0V#kDItLF(112{=6A>$#>*paBf;MrY=@)4T&uoE$WE~`({1tAmR^(z3s zCE%RUr?l~Zk7;D(&WjcqMbf7@9`%e9OMuxp?tEPvZ;)3-9vxB1+VNE|@kPQ`r z7CA-Icd8NxvdMvL_v_l&?`uSpBbdQBgO4)RVI72#An|Nc#|4|O z^$LGO%v0E!l;&BC2PMA_jnZe1zKd&A$eFy(@>CNr$HxmMXLJ`4GZI-&41b!%Ms`2> zp0j6w`Rnt^ty9u1y85D~CIkA*LsLGvsF{2PPZf0@Gey}|7D z(*QUL#jznkp8`8qB@pS|j+{0+`2Pgp=#P;(8dF6G{=ES>wGh9`pfP4kh2WKjkaL2< zOW1wO**IGM1{Rk6rklZJrVA$3TzT|UBUlWtv8$I-)zqA%#EGamMeOaM%*QR-|2i^e z`tn^ZmfP;Y`u7zSnlm#eub6as4JqJj$wz*%m_z<~!KEB#j>x@u9P1kAF+ya%SV@os z0ZE)7Ny~F(PK~(HG}%@|;NXt+YFtpneQl0B7t@zv%j;ZLaYbUMyP9Y--YkW&iE*B3 zz#x!uC}E(lcBR1kWf@1Qg1PA)O@s~~;e0J@dBh~aTBc`6K9p#Ja0yVA(|lMSF+k46 zW!a}38Z&Kz-!uQtAfxFT%|r<`Gv`IMXopIDE&j+Q7V{(Cmf}!8{(7J>FTU(I)(boW z&o^7dxx>9vFH*Al7K-blU3dF`6JighTs;1qVbKUFp~Iejk(|}%8evOu6vjTRUW-DM z_ME~hImbGL?+}m;FM1E;i_GMJx+?csWIe|*UoyH>4U;$&BdCo&WPIupCJr~>R6`+hQ zq)GnvV05kbB9=6rn?U(M%05w3^`@d-73Isw)!TtGfub?1nxB4;CySDa9v;k(H-~Pk z4|j*}fK~6Nfog8>VDdZQ*7#&TNm;Dvz2!y&_EsC=d_g~#%%u}sO$tr>sM}Q!j2PArH*KS7W~}%JMjxrJhXXq)Afadv zzZZ}OJK&&g45-<&(Au{ZPN8eUoHy_1lDc#vgVdF=JTo7NRW)0L;q#ciqbeqcpoK|( zWu=z_?Je}Lz5Osjd#}d+WA9gxCwn{mYj12a(B4M>+MAdZw71c}_C_WH?G65ZvI`%? z%ulf+RzXDo=t|W?4#A{*s+~{1u7m*|@!0p4VwRl74OQ%qrDH{s0!K;QqKN)j(B5E` zCwo8p4-_@olfA*(Pq?6L@dD$2?H!3pmg_kZGF&DU)i+9e=9;ec{Kv}ef*-c#vScC| z8RYLkGU)5Tle2%OZ~7l+k7I+-3_iq5%V;tzzBP}f_xhAy+K-ssbZa8b2Q>p{Z5d`> z(9jk&Pliqa`#iPZ2Q)N0gy({gFbxqjt^^ZkT$O)~+mi}L0-S=>zkUPt`;XDUiRq#k z^alV=<}{M`MSeeAJct$xqA_C-k@=~qnBfyPa3h;%(<3~A<MQ+^bAxV(PCbe9Mhw*MA723};sv??@R>lL*e;4$Yc7uX+;!rnhqdE!u5?a) zxnw|(e-j7jNBo{tG5hxX_6;tPPPFYyB9ZdPVg`K~X1L{L5{7`H3xxk^w29Kmci;B^ z^?S6;nV7;%P~~h;Wmfn1Vtx}UX7Gdq+`U0>59H+A)Z(5OB)CK4l8_d#Eog#Cp*vN+ znbtY`ALQaY6MY;+|4L+-BaK?|0Jp5&*_RGsc53B$uskaCni}7bynwPL6Wz383sSWm zm@o0db+sK#N=y?y=o&`LQ8(+vbH&=7Dk67rHZ>Y!_f$uX^X8LEY*LfW2C|AkclQ60 zZmMg%sqbj5v|O=CP{6sG&SiAZGADy#F+}99{`k}mPywRn*+vlP(RuSn>F!_sxUD)) z>G&Kw2r*3#G%0&EL5a+P7)(0(5ca@44VnIl-J;!q;&hZv!V#%K{kws1{mYR-K>-0TfD5}cF{NKTYr(X^x*60F!3C0)1xcuncO6K;KpFTinc?E@pU!;s9!4n}0 z^9b=I{Ip{MqArkB^mS+aBG-=)F>0OM+}zNPuqoWoerHPryhNN`JEC4%US1AByc*h@ zTz+xirsd+G`a@^+gm;J3Mm3hT7!n4iaD-!``mIlxtf)i4LBU94I z+6RY+&uFAjEDikX|5$SH`N1=Y&va1l28h#buc12E)>M7+89U38gZsgTF@2wf23Zr6p&;%nUYA*7PNbEQ%$D z1i7al(3DilB#yKT|LFmfAK`8qBK~s@`|t4#DIP1Fb+RTGzyYNi)?bd!@b`1`FHd3{ zU)fsj8Ck*H#dIITR!NFO@peXBc(mhutb6u)Z8a3Z$k^=Kp&syhBgvghh_HOZ9`z{gHMCt zCHB!}<|Exl4llm5wgsM#AI;S2>YSYs2E!f~xAlWgnhkW%5Em;J_jkOoK)Mjp4XZry56;dUWyF*F!{*OhILqI+%cQquxkp6|w7w^gJn zNXn||sYV{HK7Z6=W8YtUc4D@+hR9Rp4DcXmYYWdm(>umld8CjVnA=}!GDQj7C3acHRzwe@W|G~5_QTG*K5K%E_{Zw{v6jf{*f9>f~2 z4k1500H6BivCTGU`_Wu~;{{QT&6HEgW;2*m>r=2b`82^}kZb*MyJbUajvQnU1c!6@lH?S*J9f zV@0Q-D`&+Ibgpm-3pAd``u8|3ma})$Sq1M3*19BfMa8SLG~BtzNvei=I=`Ame@%PUMaBV zC9()!p1T4k_si|)MYx}@L=RV44ry+#!1ryDzmG?;AMxespv{?l`3R zYfy7bW9B3DNRV-VZ`%r&@p$==r3`WT=<55Jd3T_H)oSth3-D?76UWJB>zrr~WHZcm zQ8rriNkVOX*|k#Nj@grG0l^B~i9dT+Cr3CZoj&;K5o<>mi0jU=|2|EHwomcPe4S)0 zVOwNwE!ll*hNaT7OFOB!MKh>J;rBy$GeO*fsH8hQvcNB&N)i_C=t{xZku|Cs!uhxM zORS0wsaHoWYSce`m^kuQx33bfI9_bwX1ysSqn~CtZzUd8+e|eZ{uSR@55evHX`<(z zJ-V_qYGkI|4B!p*PSCk$$<}On?c3JKz8?0`;ZQeq+3RNLo9ti;YVIJ-$UP@~ea98~ zZA}M?TTNFLJ3vJKx}I{5LWrfvUv57y=zvmtm^OBsWz}C{C2h+-ecQIwSR@BEJDF8K$i|^>L?TfJRTk3+3I;%S?HA0);CQ2Sg;%Lqqr;Joa**IQ$xx zGkRfi(jZ!yjpW>!D83@v|h+jC_BqfLeGHq+($JSpbqy%UQFGzt%j$mju0$ zqu(D3;w==uA%FWB548YT-Vz3%fV|z zlvF6RWruz2SW2NRjU2ko9HEkqXXHUm z<{=O`vHVg+H_I%G24BftKqbP zwpO3%OXIwgm$86Ry(RuzJTXz4czVgl3{8!R-s3Q^-?X4ytS2;$imx+fZF@Sx>iT9; zv*nz@Ry|wk=tpdY7h8AVp{lyOVg^Eum>GU9vV`VUQc{z)dxh1s%q)#qMOu#8cAkyAZ980#e+aT_U^RUA zOiNuosx_E zwi`+tQ}Pw!nC|HolaANM>1v;HZ&UzU9og9X=Cy!;{ft-b8~n$uVhwu@2_x57K%U8x z`73IDIv%8lOtrL}zMqeN?1>Og8ULD)QtTG8`=-*-UV_9-zMM)Dxm-zO0u-xqF*`3N zYm{Gmr@Vkhw|lNTRQkD3>0MHTfWGAKJ;&Rz&v++`31vB$YB<|RFW*4=C$NO{f0-Yb zcQH*|!v)dtrD>zRclY#j>1%!P1y(J4xwK*|gv(6+o(WTgbJ;B}4Z=HoGm=Hl=3JLJ zY>(DfqAzpshjXf4!gg&DuKD3pfXTKSsL3NbQQ{sr``TRht^8PY^jeOFtnSqW8~GfH zQcOu0L4rk{J>6Y^gZi{nAlyyj$7qX`DDI5#F)#YU{?_t-HEBNihWoq1TA=5ChX703 z5ZaSZF+y9q#Skf+18I$EymY}okSN=KXKjwN@P1el`|*4D1K!fy zX8h=&y#V}ibb#Gi!M6JnKW5H8@6bN3*OQLF721zEPlGrSp z3Difll;T&8;Ld1`{dYBnY@#Syg?LzNtL6cba^1* z?rbt?jE+41qbGf`;57CC7SZ^Z4|_{0-8{H#Dmy#hd8qD#av~r!Nn#|YRZ`woJ{abP z_1|tI(5rR|=?KS!S9pHXXb%SYY>3gQ7uC)+>3C}wjq=@erxUK+#fLVX_5@+2d!RUN zhCI_!&Kvwzj{mTta`TEASfbUgqYAU)5UFa3{z@qGcbGcIoeXjA&>OSEo|y1AE}R8X z4O`zkNWL0xV4zdSvTpY6r->+VaanQ8?-Y0CU{qX-<^r>2(Lu zvKL=5eOfT0ax26q_&=(qG>`~`S^N|v)KAj=-$n~0w9HO&sY0Wh6;n;tv=2xqaar zvu95a{?`oqo2V|#JVkxgfwfB)Pmc(lmo5S4wNk?(s@aAV&jAmj>XyJh;xCkNhlRI_ zfzA3tT6G-jOsw;I88|Wb0bs75*r3D43+;4=A z3J#6mtHY&B}+uC8#TONq5canOY!>T-s_s~Jh+`28F z?>XT^6yV(S;|Pc-<4=!RT*tA(SM?kXOy`u>=TmpWM!QZ(HOkJo9%mx|`Lhb6#r2nY zWThM)L5-v<92!eeK~TGmy=&lg@h`in&uo9nkl{Bhsk!nqnhQr2#!hoO`J>dR;zgtw zkax@%3qCBIX|gyz@-GeR2NWdtR8eX%vU>13Mp_{nco6_Pe`DpYlMRY?W6%&rQD!KS zTVBO7MX;0qsDYtu>4}$(tnyw85EkhYXrwOOhua5MoVBioYi3J2&fR|Lbo-kjFQ6}T z@z+^8;wa}L3%K)RE@|ix>Z5W-@o&x-+jOS})UP_W>kdf;d^PGBgWk%*+gwSBebmwU zV6Y@D@YNbP@FCA4NTkF$Rz|<2ETVj67M*?(@qkBvR81d95J^8dg%i)|&|V~p%*$rG zIVs8yVZ=2N;TKFDbXQ1Xy0}8*A+1_{9=;p$+r&sF!s`%2aoni(rwmz*= zhiB@C$s{kZ8E&0km7hdKj-v-m`}7AfXlE#_)@Jf~GvY+ZTa6WBKXzF*#v7+9dQ>mZ zbUQWDcM{o$?c%JWQH%eIqBfa)bFY*5K(#LuzVgNs;zc9%HJmSmCe+F7`AHl^q|W_H zt2@{c5F^GxST`!3^aZ4kP40XZ`|*kk0?fLD$$v19hH?>C?FENfPgu@h z99jnUXona^yqQ`V2wDmI_$NxMI$g6syVqmV|1bR7(X(Jl!~z;0*-01L`5`z<%Zr_e z52gXs-UqBwsKKJ($AC)n6QKkJpQKgu2y|W1>YBU^ub3YnRcxc$IziMvZTp^_NpLxH6n;6Ro+V>3zGP z+aYof8bdMCzl`5p6Sd(QKQvMv4$DnB>ly*-HC%3ii5itUS-!Jwh41Ic| zCEXcGK2&yeu*s-_O{FEdl)}?zGXX6;p@%2t$`SZc1FF2)U*HAe9K$P{M+AIn$X)^A zB(LCS|D2HS{q2&ft80>ECl(<{W$}KHPFuyXkWE<6r?SD%$Y3>oIBE7Vdt995&h}W; zNv|WBAa5V+iPpp3?tUx2lirxo^=Xi73Eze~l}$QcDB7@hv?JxOvcW6g^Zll?FG=D9 zC? zg-PDo3-3A>X|?Z6lVOafq2?`xRkI~6hgl3Er+m@C3+e`Lti|VwJ$l+b z`whEWkQ$X8Nbp*(Zr}d{ia>S0Cup+w6GPa4*O4AkR$0ivyB%6ezc)AHV95|`nPw>6 zE&7BuvH*S@!5RPd-!;hZV}HRK;v}3&ps^gq5PE+z=ZX|`? zz#R;fQsj2<^Sf^s3D^iFj6<%xS__vcc@fy$V*9fHkbFJ;=HgAO_0qE_S72S3a%Jj& zsPF5O!p+|)yc5%v%+~P3x_OT^BiNrJ5uArhar}b(i%*O}cUKsMpq+e=yy6be9Vqmq zwhQmuDI6HUc!36Hf>`~TMx0S-Y#wZ$r!Aw>oL%LXwd6c%N~^hf6dBH)j0n|G( zNJE5;*=TwZvJt@*xejHyYKfs{w^+D}_vYp5zR*hW;ch$(kKv*lIZ(I|VGgMXQBq*z zfVyx(O0+bS6f~Bqyv2&g9*D<(Mlviq4vWnx=?C=|=g+S;`SqB3PyJTvv~sb^g*f9J zCeC`cqzqrz{j#6u?JnX8Z>w8R6>UkVXO=R)pQ}90iqExW>N5m`-|Z@0nbT06etu>T zn<(v)kk#-V*X8N3%jbg#IdzUfafTp?1fGta^3{15OrHg;Dh4EvrW}=j#aeS|795kM z)lSF3%)llr4A%|~w1{d*QTuLM?c(U}$`opf@C!E`?xYD+ao!45Vjfw5p+}G-s|`yP zpJ3#CWma^N=eSt{8$XJbC%$qEV~7IhoVPIi{0M;{zweMQQQ6d=>mx%`Cr84jbvf?- z69W-UUioeh!}G2y*>xO$n81jCYuc~J09PoIC6e4l1;(a;I)v%9Ra`+t&=|o zovs_cTHlW(t1L9|pYH&Ek2cT2IWskucHyL1z;>;I2zS=ye$ZpZbUS?DP?bg|lgmQI z(WOLMD8t>qHtj>o#)JON$Dd}_OpFHAQ?s$%FS0~+L`T3a3<4>up12L8pC?RceR<8a zJ6dA%ShxbtSuX^ZLFu&N68-Fu0T zjsN?~@o+u=aS}jP-2h@dJletW8m;cloRmXYBpg0>|I)^_sbQ_T`!BVJbW&b%a5?6NanAY@Qn4!=#!f5gQ5G?U zcJOoh^$PYs-jd)xQ&)-CFx6cz%bS#<`yPcam~Edgsg(1rNTmr08O!!)sG)AXf(#B< z%HJ9-e%SeJrW)1gutpqiO@?@dLr;3{ipZKKDXZLn=OH=Sn^CW$uB3xfemCAah>sbm zmB@-kL#Kh^7Ip7$f?rOZ^MV(5ke_g|SqnxHL-TFLF+Dc31hfdbCPe6YzS3 zHkmU;8CvT{t z`kotM4YFO=8c=b<4?HUcLYRdQAS(KFigo6HtwWtNi-WI($<_%jXdb!?XH;@+=l%qO zTr8{E?9h+*mS=`@a@dkx_%#4Xxn4To#ADxG(-0FC{aP%4@Z>SZ;$m1_hDWW(I=rK^ z+pfbnvx*=kbhoyR|MeL7F(abRt)YSY2pN@a)A9Q=H@dhJeSCjA<^39}E}H_<^_B~N zOt0%a6XrxoSCbkN-@#ejQv<3PA6A0CxOlZ2^BM%~52U#~gt2?0A~6CZ>QY?`YOk!cj*FMmBr5vUMV4QR?B6O*cFb6pf_zP7GTgUuYz_g9^S zo~P_E(+Q8)&Z(^_stpKT<3!}GoP9NaZj~+d0Qod?;|;nw*5`U5w+L~ZSK+;p>(aQq zD4OwSkVREaZXT(ZD$G)IPa+egm`HRepGafu!JxS^cAIk^d_0}c=~4eMy3SUDCt&=; zpvBh*4OeVO|K)18$d8zH8i&*#4qU8g$1r3^QkHw_rRQaIh}HADqd?ab4V@)_AOdRu z-W*(OD6|+sW$YDGleCX>0Az<_#5Jhs)$ zf=okd?o3`@BM*><4mo~S>=O*sT9}38Y{S87n*XJVw6bpbhsk21OOhG~zqK?(QZQgB7sl%%^@c#Tm8_i;t7sNThv*oNmU(!d$pOV6)dOjo4(IBN?{Iqo|uf{=AmQq zX6m$2#NHVR%^SDQb4lZwM^QHD+jTruK%c3vu8qHacQ-Ni18n?H^dEsP+MO=rA1i3a z0LKPGUDTLDde9n?HSIos^FORQwBgZw&h{yk#K>epADmI*Jmlv$RbOKsmg26c}-(+FrS! zeAHh&g8yK>5en)aaE`4MKV`DY%Ro)lF)fiNCjSDITq<<#=*FzPm5NPLgK#e2##@3S zA&|{PRpg|NeY)80-gGP1`c$r~`%zy4y>NO=)+XPS-yMOgx|q(#Ga2_}xi=BURCw4) zK1k_|^|m){*=CG?^~DmrIz@~mT02&ZgtU1!tWqE>SLOLR6lBNcH@u#iAJOxCU!Ic> zoA7pJVcbOz-W4>|>CCtjMZVz6LDU$7h^#`z*C17vl7#-*Ll{#QSOXg_ot;T; z`Xj{h+IV$;VqJ8o`QV`@b>V`O?J-*jtIvBH0+#gp_jmb!8z+OvV2)G!p+l+HNVV~! zk)EcoJ5*aG+Xm0LjcHt2rSNY<70&Z>+Yq}$yC+3_PV>e>e8A{mSHDMG3sakKtn-M^F~$OSXzCo6*x7e z(?Z%MxZ8nb*8G^Q(`2!A6W0bCxE;btc=9F($%T{O3Sx<@p;F`<)9Dp)dc(@e5{@+% zhN}ETLfkyImNbJa#3(Otvx@ia8M*~Auq<@c6b1HwxHHRc054yOhLNRyeTBO7YCLAz z7?qqG9g7_i&;j1Og+i+!(qlqnZJ4MuMZNNeXxeY`h6`rToB~K~FfvJIf-^l&VtcDW zVWp0vmE$F&Z`IHE{GXs#YN86M{UIsqJHn|r&*Yfmy${9~Dl06UMDLItL-$5!Im6G_ z5BA)DZiUFhlU!V9ozeLiLTy13v7u7i31G}1wgf|HD$Qv+n9(77JJZ#a-_Fq(LT_$P zK>VEg*4Vr;?e2rq-8qbW@h(8C%m7LKPlPEjxglDu^ zp_Q8Xm~g<$j(gD|SO+}+fSeGFSJy_`9sbCFf#PX`jW|XXPgpYQy^b;1u*@Z zg%Ojt0E46x^SEdUvm#1Q zg|~_Jn2X_#P(5UIEI4%xPsY$IKYJDxxNa^C-}Y=-1UW-V24oJ^q|WINX3{X zUfS;2M41f;4Y+ahMUvql&8snzqjVea5eQT`5SXEbY$Hb(!kr`Y9M(G_6TYC7JW8Gm zCii#bp4(o1@Lm?Y=QQ{9<;{}b^P+u!tB(kkBGPM8E>i8(bos#{(a%L!3ZBCfF*cSo z+mPBXxLwMcYqJ~0(nnm{ynDyCS5;fd^wt{X zp!uja9?!zo#OTl5)HOm+zZB7Z+re)c6`6ii+|eh5O&Y?;T&qJ)8qItOEP&oa?)t#) zLK1GTe9tIIi}*EKI^gpLyXk?SjHQX}H>c#xk8OhOvCB ze2?Wl7?n;Zqx51T>*8(g&m)%4s54y|ir?2lC`WLV_irD_WDW(mhYX=oS=kVEk`*~zI!{g8;)(2BQKl+!h>tciPusg(R z_**Cyg4R2sK0_Q#Q8W`d$TD9B6xfVG-eGt=(OnGY^Gw#_doAw;_}=ExlfavLDNA)4 zYzL|ZzGyh-uiVR5KXA^IiU&h9zokm9< zn(s<}91|~T^faz2JQ3qfJyX!J36I9ZE5m~P;r6k-Z<(oG;7Yxx?CEHjgOkRb2+oDR z&moK%aQeNeE<$~NvU#lB3N`d|fqSt(NMZfJ(;SVk=*>D|RzvkiHH+0~I9E$ctU1Ic zs|xz>L1}ZJOL8NBc2+;z+lNN)m@FvflGb^e2E3}Jjyn1R1uX(1Q%oM3?JX?cw+E^c z#<#Rv|07h(mieF%jj)sY7EYssX!s`rB?lb>NHovH`S|JJ?s~DxK(N^PRDJ@{XbgMj z-u^qd(Y(6e9W&3CgS+D8=DukQnxo#1L2U3~s(*YU#qnzsYJWZ&a7g)CS<Q27P&u%QjNfMh_Yc=ya?Omp9`7uk=qgC#5qAo&~)i& zZi>;m2z+JntbA?;RV-9{XfT{UBHaX2TX61txZC})COdYu<%^_;sn?OGp;iIwjW`_L z&mkjM=dNa$Qd6t)a16l&>fR4wTXIr3T$yFkms%!&66g%s&}4*=^@M!C9#;)*vP@}| zJxRwyjTej*8n6kjbiuqpcq_U^KLRb6w&A>~_|h+x#@ZhHSgM>{%bV7G1k& zmt_{X@}1_EjQ5X=b_ukSaUl!plsQ|ZuKkJ2^sD%Gx$EGMFG~_Y;{5A=X8eT(ofOjY zRwHeHe4!sZn`=UcH$)xlK5Og8@4~^;>5pH^;FvFjfL=taYh#4TyyUulhPd4;_DI9m zx5{w48h(9<>_;t`tPq?)8&dE%i)LaCg@oD2 zk52L|Ak4}NsOnFi<-t9)Bd^~rO_34F8O@7-w*CUqG2_7QIcKLq^rBc;EGi-p9J~^k z;MP&rccjzCCnMiW!#gMfma8!`T%_QnDuU?MW_mTFQwvzL;w%$L%>G8*?W4jB zj$_>}T5z}IBjQZ6bb$i3725*3hpx*?ig0%x8lk*vL$@RTR`U>!^sHXPhYvfz?Rsc` zVF)B#Zd~fQoVQ?Zl9h?@V#D_(!JyBW#Q7%=>TTnV&)apa!f zsH$n9W{_eR*DnkTbX#&cUNh}Dn$3n!;<{*jM$?U5+&JcfjwCM5ZZ$WO;NVTn`}xhU zbw>&8o4_#(np7!a`30%)nZ$bgZ^)T0fd;3seJn_b+TB+TN!VX020siMG8(Q)6c)A9 zc|IMcT$zohXa)U@8XX+76r#9)AMs!$5&tY|sr?+;!Dain(p5e!`GMgq>L>XW3^%R+ z`9ri#S;annC<}s&3W>D`4O?ZREVJzKuhN-G+^XqI$~ZUmovhbXZMpQvu578Oh@7oO zP#W`gKwWlf$c`4e6<7HVP6#{(Lyl6i&gyT!db|v$xajBHwuVPLIcTtd$DaG$I++nl zj7jd18od2Lh(0zu8tEjRD5TdE&(`wrue!u>?t+@t@q-v$dQAzp0xy%g32Tfj--_&H z7N4)-yQ28bV7-6Q@i0@T2RE>-38a&sf@3VTm>m7OL+*a!W=>%HnflW&fVLMKVKQ0k zv&+tYc3R-=Q?BY8feaacSgN=xQuL{apaTkBs>V-^jZQ=LL09h+#*FV5kx9nqOSJ98 zyxpfJfNfq%eY6{U7&JN|enzPuMx6Rb>3_lV;R_vRwSHT3&Z~f-{RNCwvY*pGX z=)kHBKa^`L14S%<`cJ>4=(hmqfqTn(xvwEiosRxpm_wfwLux7_^|Z5T5l0@rzdEuI zajSk9=wgs)Oaj@g&KroW8<48?$1ZWvUsOd6gUS0Nn|pm*<*_4OaT96_%)S`Wn$Xst zfcGaB;qo)l!r*Y7g1umo)pnRm2+jYIY-X71;S}snS+RY8Vq!x$LO{H91%e5^5S;;8 zmH}DjNcEb=C4SZ?KDWl#zXfZVF5;A)hIc7!L)&fYeb*<%Dj<0J$7&l z9)mIht>64~qx%l+LXIBBpe`$q1g!=D|2S}7s(kr=67f@H@Ka>Ut%w_y3sJlX=A>AURb zoXR=@(`?Sgd2QW-4zPI_FD)8c|MfFv9qCnrFYp(Ze|rl3|>GW{(E^PmrHrtn9y9p$+i+hr9fk z%j6ssStxDAesF4CRu@MUY8Qhju*UGk$}{dnilUS4`I6{ZmCG#^m7Z9U#Q4P@ zQm{LOW!~W_Xfh7|u5@mc?)1&7YdDDowskvyHPsoRQ>|y3hw?9vMx=x5zZdG2T9piY zU{+bkxZ2HVKON5UHQgIFlks(|LF7blz-v&pWT{&idAUQRx!$ddmX6 zmPlb3eqFIO=5zK)E{lW1W~?IkiP0}(>o$qKSAZE@mWhVHwi{8sdq^L|xrl!+y$uq7 zwRX{cVreJ?*ygTWMlL&|@16K8`!)2JQYTEX{6L)VrIBgCMjd~gygQ5n1G;vs3^l1= zfaN1@u`MfqM1|t7>wb)2W<^T9^(cN-pb2D+-VZ+J2&RY8(kSP^2qK3){XVJ7U`a8s zA4auH@NBD~Bt)$e_WEvq;XNh>P-nSQsH+ zYW&U3aCrCTCt+6c&0i%x^n$qc7Tq85!sFz`^G)I4SWeBwK)T%*eHI`-Q<3X)SeiqQ$==WU`$DF&wxybE1A6R*RcMNI$_6eT5spW;K*Xv z*(qr}Q?_UM>vk%gz<3hh7#69f@0Ti+9D7eah0F(%O4cD+T%_kn#_k(9)?YuF32H_bvsME57+2t_pu*aWe5DOis&SEAVi)DG`uu3WJzWVo z<(fvnE|$cQ!RZHPiH@uu`{*4PN=s^QZi#(;;SK(lT+<*`RFYB(!}>On{W815QeOJl zsjYT=cjbkC&JNwyCz(Ni0r9G3cb@NSk>yM5GO@r6o+rEy6Di2j6w8qmHLT6lerfnX zViy!qT{CS&I?dfux^Gsuy{gqqlj#Q&DAS58n*$X1bFcPAH8iD=Q; zJ@Na7MUb;{N^jidR7Y1T8jKN2G6FcYi*0MUp8${WF{gOMhGB?e&&T=1hpUQp!e z&IB#Haj`52HGoq@@M zXiWkr@N4_C@_Mx|8h)p#kEx_u@}2b*cW&V#wZq3&h7oZmY|ez%w5=xv&%eAy7x;IM zR(djURCyRX5w%c%C&;~n@F2z-qv1$VatT#7`lmC49i8;`l7vetT)c?7W$IMDmC2}~ zg9U>ou1fCFz771we;$jtAXHo)QWEdsnUYh3h64Uy_QWJ<4CPZI5ga3)gRxe}y*Y2CXn!-hrAj|c@ zebuDinmZ~*Ek$?Ou*m1?Mh%s6^VRwJ66a-8OQj|2X^aHS1%*a9G_DB8d~fS|3Csv= z=y>fSY+kH?p{vCleenp9)I^KK{FPMpgopVj=WW)Qetf@EYKxm2D_UZRlGpUNmHfKx z@v|-N$xZv(BA%aP2TovkgXnTD)IM5l)m|Tv2<{6^I4MgydMi z@_j41m4jr}-Zn65!s`ZRDPwX@2vi%M61EooHmpE?#23)qr!zk0QB1a41YkJ>MP=WG;+@FQXWYOB2gGyjcMf(a?rlp-&o@CUZ+#yRU=x z({`7Ck8n@bP|x-kt-gg zgu`i`u#ki=9lcQ9(P(ROeLacU+V*UN1V()aKEk0UVFj1*4sfz=IpS7pqv-3SD5bTd zvk0C_%1eG2)Q6YC_s;kqcz&}*FOWojj$yuUGv<<1#uS|^N{o#;B(aGwf-V_Lc5ptN1r&!lmYPWE=Tyrx-e@SO$YrAbf!|mk z>BtBzNJB2m)4o#gmUCCaSZt{*ib-xK%;LHf;j63}v~{zWh6%B(pSH(%if7yilb_bY z&;8z19fso!GlT-(Z;aI8SGpWyqeb?Vi(<&zD6(E)5?T0A9K zeIYvPT6v@I&sVI_B4Z*8l6YCGEOV1|BaSILh)3V*!rO2WU8(l=Y`P1~r@laJU`6A( zCPM=%Ubuuk>g<k(@eqvL^`< zsWEBnG8vCzq^fOJfL$JcSntn-mx>9yiU|v5WA>lEdUyMnG{}0>;Y6>SqW)w>POV&d ztLXI8S_p6od^6LOvhbkwku2y&gxE*w$-Pa;=F#X9XtMg*keqclB^uUoR#V1Nn!xG- z97WdaP>%Ur6u#l-iT)-$+Ah#_s;wa|;tguwKyONJx=k33=2)12b2~$P9k4gD+9-uE-zOk;eZeYCl19~)b;^0}>=r9+0tQ0_F@fdF{k$j-6^*ZfH0a-@hG`612=x8T&A2>LJHKpqzaVs*yN*!-2 zdqbx3WMW>v^}@g>?ktm_$F(qsws z%s_aU6Olr-TDq5fyf$oJ%x8#9`{d(tYlSBn+z+g|FNbn}Y@~3erGz}2Os4VsknOLf z7`qk-QAmN6-xG3a%Ihz;jgWADdch^1QI=sTgs(!u34n?a=sQ@G<$|DB$2fZOPgIuI zLq0sU60Us_dbJE2p-#F>P5N5(uuq0mO=wjh%gM4wn5Id^n(0FpY4V$;fNPY< zZ->GWxAK&Kd1hhD1XFK~xgaNjesxQaL9IxNkayv!Mf@Ae>*t!Pi{N<)Dxp~2c-G2P zq_u#Eom0F(h%Bzu96vszo$r1O)5FBA@Lg%+cTe5jWUsim1u~4?Qq9jiycq$r#%3du zKZ-{^FFi2$-LEze1FzsZPlq-g>xhJ%zjebjiB?;G^q3L{@aY>n1u0Nd6)<-%BB9jv z+AO)O#>d@DJu}LtHVr4c$A2cRYQj$+;rH>U$Z1BA?hzm=GT|h{QQIUg#Jb_;8;-KN za4G-FcUNE!`4ffi$+4!!;g0H=@)Ms2|DE^S3B3Dc|H&{fXdE+;w0nF@{?KL!cq0|Z(5x<4c%;i+Wp4=NsI3pgct`W4A4=W&u>O)L|e-8Xdhb5E!>WLB~RqYGJ|iA}fkEs@#2qOiK_Ehh~xKx#ThH|=ga z6&hynZ<@zzhC6|-RA|kqob-s}XhbMFPmk~zpqzT2VGJZj2c)JOhZTNX!3IJ%Vo%aegl?@%H{{qX?GuP5;OoI==n2R+zY7ZAuRHm zEr-fVE*PukHFC6O&AToZMdb`4HJyoE1!-U}Q5(zGshIo&NdnAwM{zpb=9^eEi0XWe zZHC)m%E8o?TL-UcMu6xYGZkKLB+w;>j`$9Ktz*&=>htw(F@)oQpQ&_wNg}y_(SH3{ z3v@<#z65;b>`!kRa=1;{L_qyi|5}cnbK_;kHCI7Td9zj{8Jb#C&kT>g4J=JKxz}^{ zG#;`WTbU0NF1p+$!uP=AM>d;nP;FQ|{K(5J@AY@rZOeEh228!rOBJg76vD08>@pq@ zt{E;SVQT24_yjwPWLCLdEW#~+jO7;Wtoxl4NftS)*@TOH8?FR318dRYW%G0%D5=1fXMoAEOKVTn(pmG|C zO@{LY`JE^1-GVl9f3LAptsO66!t&zd3GvwECC{aO>sT+M{WuKiiFeS>qYS)}YsNw1 z_(d@)@!JQq!4~=p9QVWGkABz>q|#J2KA%F9emrK|L=K46;K@9HhS7MR8$6nNoJ1iC z@7aW=uJqwfox3TlH5BHaBPu^}@3-UxGi{RiBw|>a2TMRANI%jAosF4J_(|B*ejevB z)%rR;MRQNO%za$quIGIxw0a>(cse2Woz!Br$T*dtu<79|0>sK9fP}+KhC|U?a!8`o z6cfq^#F~xFaDYjFuV3)m6*-Ms7mTu{@m&5^La@4>I=!e{xE?Bgo}2N7{G6h3w+Lik z4V(8w^&8ubCgJNM^jFZWs|<0O@5#7-!~tf1j5x0MV&p#W$giw8O{|q)8|SmNZ>yr3 zW?&d81$u6S$B2vYFw)!E{~7)9<3)WoMzm*o?n{Dk zC>SWFU-Z<%tQ%|b{7n-$O}Ek1QmTe zk*a#rj6`L5{+^U_RFvtuqm*kmE#i!F*cGE5D-;Y<5%eIAPUYDFK`sqko<RfEm-aL2M!BuQu-+uh)n^~7|KXd1D@mmWG~YsA^<^VoI(nENkeJQ+y- z3BqC6V32JzSBo)t#Sg$X-(ZZXh;+?9!o^;V)(j;=PY67EK@iFlck8G#OgSm(O;K1w_dI>_{E*lPzrBG3 zFt;gxVZ2ZR7+ZBh{vf%RJ~iAlB&w0*o$;^UD`SCs-vzZ=1MXCHq?J;-D&`d|GSb|S z12!rTMISfn?Z=}SFg3Dr?hkHPQ81Mc5jQ7qUtNP`#FzJ7j6NjbH{-NOgpHj*#Tz;` zF08V2_p4bd?kqT>h4?PWu#fztc&a1w;sTz34Nz(x(E=TVZsd+foz=6ycc>&sD{Zy{ zoZ>GdFGuUKU0v43x*rvmElJHNU4#a9GQY_0lC10DzP0R)Rt;$Be zgAWFdm7FO+2zPUqVBdD$5*$QKPbh3NJ18YsRhg`7xo@G?iOe9-> zy9GXUF(h)~uTZ~dn$JRmW6A`%!?@UHAIVl1?bnm25y2Uqk;}Lum#kK%r7edgC<7nM zRo+)1mVZ@!&nJtps>?Kx{_3;KxUq1qPA2=Knm*BbCGD=HXF69#8aK4$3z>b!Oe?IxFi4(c&#hzcxrs88jHLpqE{fJ zU9X@W274Pq{E|t@^TQQ%8#GIehlOx&om7lrxhUho(MEP-QYdWd-P4K9S3K{32_@JE zt5NAY;`^-_894y^K2p{>O_kL9xNiOZMw5reLy?>B8_U;i=HZ@5T;Z&KJirzDjo#BU zt>hH5F(~*PU3SpbRbpaHiWw&+J!qiDZ+cH*!t3r^Q?N1C$Y?{!SDd+thq;PQ2%Mk`<{X0W3C(M#yO65M$^L6yCwjLLLPEQ@NG zN;enM8P^fDE}zsbn>3;b9jM1*UPDPLG2;hfzM;BZ>zF+m4-EuB%;vYEt?EgHa5qKl zpE~Ok%ubo-^)d0JOm~u#;$F&T93DtPeKDk5FY>^A6kUdmS-aXq{+2I)r2OOd);hdq zp%UF;w!xIOt9Qvj=u0%&Tr7{=L0$!sxgrh|xz)F&+jzYTd=7B!z097CmgnVxX1z(L zj}xVp+ROF~F0rdv7Ai*C_EJqD&xkBoy{CJ?L8CvSQ+#`}+%19l-elVo@ZDrL#x%8; z&#vJv=VmR{AHa^1toJ^DP`^W8$kBc;LiA)U66z?gvLx^VTFz67*1O%2h9^kR>lR5g zT<^c38ZQJZTrt)h(~pIm2G83%t3c84U0Q}zv$a4<&jukJz=bO`uYG!%+}GOIoB)vq z4?<#3EyOq^&PgnHYjwj=ixCW4iP6B%T>Iz{6M>FVA^N`J9XTL>aoGKe=vO+D280tG zBrVOmFf9Dju>x*R&)Neg(Qf`X-cIGG{#6sO*}m}DJJF}uSW20Rbsd~@(e;u-Em=wb z!D4>9({cSX;GX9)hC5qxKL{iIa=bKqEAV3)W;h<{oj=3lEILiQ zBjO9q@65B=(loui+Cb=8ic*ud5duSCeIePz0hA8T_4_36XdSwR$cL2`E2R-<5Pr)5 zY!D^izB$7r>KKWqJ$O@)55@NcmugPkNfpK`MCl?3@+fS7Zm$7yiFs(6Uy?sKAbzu&!OofrRIOLqD*cWt^`a-zsOP@k;EU&vkX?)_ucT)? zP$8pmRT)Bm|3#nM1TmO+{Gf$*`SbI0?H!`t&13(GrKjfhXp+w)eXZYgfwDn#)p^a0 zl$_*ex8;I+ufy`snkx|h$POv(LchtYi2D=mv(2|-jW9)VJS$=ND@SquN1X2a)Kwj( zRu*@A{!gX?f|Vz3q>&S8tRzY@CNC-Ng#xr~vGi7dnczRaj!E-5w|qpwk)oztz4l+u zBS#H$;7Y`EC@y+!kr@dqa$Ep$IjefRbWtjhXj`x-zYG1u?eXFeS^bw8<^1z}WRpu=@46x^KLpo62i ztqu1-7{U%f1E&w0h=J382T|761|a2Z1z=(UFtKwpad0y-0+<;Yx&A}6b>Iew7`T`l z17zs|QnofgM;J0;TRV3Lb5k>?k8}R>5kP4~1z_Uh;-LLkI6%-E=wNPSU;~gfa54j0 zf1GG!UCdIM`mdRqrmJ}O#&fUCKa89)i>2y}1( z8Uy~443IOh2L4+bJq#H@#mwCCZ-=t2iIb~=0}$|GurfCS+BklMINKNl9RMGv1C%9Y z0P=P~o4?62e-mf{|85R|iJs}d>HZ!4S0Zzpe+3&D8QEIf8Q8d++n54O%&mX`c`+G! zCpRZrfPsziUql0cD@WUpcmo#$b1MVG55m7nHvotUDgq2X6#iSEqmhHTos%QIqq)^z z8X5jd^U-Ee8)IQxYipp5lOxPu^@*4}0F6HS?#}S<%eAzzb+z&Q4>B>gF*f;2hOx69 zgQ|_Wy)#f!mLX!OjH$+5>=YMrI6ue;uIWZU_9Alj$$>hXyZC zJ6k(|$%hP}m$?b>;}49dqk#($;N;*8^z!_t<9`th6BEGL+{g)F2sAaff%%jD!wfX} z8-LutgSi_(i}7Rpm;j7_{rvZn&c}oq+uB&U|DpfuiW!upG(^O{()?TUf1N@?wr&7V zIyM#n9Wxt$6M%_{g$uyJ#t88G-#iKi=KrpP@lULzjfpLQ>+fc53 zD!~8dlC%AoTp)n*&!B5FvN0Nc{9^k5JnsJr`TsZNe`Wc99rXWOk(jfU)xZ3de-r+H z{07$MR__01d`zyh)5jLb+J5YU&HtsU1OHxKAzLedQj z4QQ-j?qp>4_qhCRSN&_ztjukI3bu~se?2V#IwnTO|Kt1EE+fm2=fm-1CjaFEek{-b z9w};LWNZA_mNB!j0}LD-4BTNDKSqg}jSb+*^s$b{K(~KQF@S;I#@6X01n?ow3t(dF z0Q1*>jk2==7zF<^{f#&P48ng9CxAiZzlehoz##Squ>cq(|DcaJ+5aNW52nH�+3i z`hz~=l>Z=B0E5aO#13Fk`-47ms{cVBwP^eoaeep<{va*@gVBEx+XvIg*6O37|FQhl zR|eyMKqdeK@DKmv)W5Iv58^`A34k{I<>x|A_yfnEnHPs5JX8 zWc}#4nY*1C@Sg>K*v$U{Kjc~d1AZv7`Um`wYyAf@eTe&$itQuR=3{dH;r~!;`{(qJ zVB7z3e^hVx$NkX^yN|83{YSf)KFa@xIHr$U9siv77XrHcLk!yo-SOk``V;Vx^G|bs zKb`;vCo>1&Kl=9}z{%D29|0d4oc{qoN_PFnZG6!Gk)8P?pZlNsKU(Dhboe{j|164; zvxCFO*Tuh{vX3qL5B}G86AM;Xi*c`_E05=>q@sj!8=Q~ubxar=eg|A z?)-!Rl|WUQ?!W19CzvqYgS56QN_i`PutNCidE8tF719!?*!ukKMK4BadcPHBX$oUt zGVfThwjcj99-WH7!M9iYZ)$#)V9lVNQe;{7&YTDeIj9e=ed2Dl{Uxho!3+C}2bJv7 zurDPubP=jQwEYU_$g&MH=COz&o#^nPsZlQ65a(|1W>GRH-wCB+XkfffB3SZ&JvFzO zBOm8I*2`3w9lNkeu{E*q!ERB;rpY~pjuNFX7d?v#qNIYu3rz5J7aIB65e@8FtBE?7 zc}hknw@0yl>G=tlAnr~FM;R&ZkO>X{vQp0Mz(UEY^KTJN?c@L!p<4!=klJRsEd8~5r|o0Hq3U?>h?5n zgFo%~#PM+HH90A61N~F$M&;`%pUM;n-Y|*52$LC6<`+o))1T@?0~ILmmVBVN#Szn= zq%Fp(H>El~5Z-&`ejXbI@UQ6d96SoZecD>ISJ`(CTrw`v0!~M!T5wB$b=!cCN7vL`3AADWjA{JubH^lzwdLx>JfCgMG1L4xcAk1zUFb zgt(qB&;g4QyG+i15dCL=BHT^@Ng7|HrbYLiS_PN}_0bW*nPZJ9=0>qpk|CN;DgjH`q4(XcM7}F#fXBWf_ow+`s8&LRh3#?r_-S+_x0Vu&ToMR5t0=xzt+FSsITQWbyWFMo_9QABV4Habetxm1x68IiMIq@Wt62l4|pQ zuMi9SS?cf2X-YbO@b&3jeGrHmMGPe__Zs zQcnsHNgjN~F5(0Y7nOQp6N_|A+@`IK#e1sBF104=BoXn1mNY+ zyz(keUyIhEIrabsVW~lBrHp*WfwZot>!9A;?b>23KqFm_R};~st4!>!NSRY#kxsO$ zuY^0xX==#!R&l=1zgUnzu4O`xDIY6(jYp*tM=xM9GW88b|%_s|HQC9zR(N-ubkqMU` zpTh4-FKDs6;=6J_h^2#M)P#m)sC{VGxHf!F58x+%RcIP1tW$_bY)fQpI37M)3GWKs z(jib)zDjRolnrkxa4yV5@k}~2y+E4Dxe&-C=7+Xu>s0fD$B7Ik5Qpl7;**ZZ9dT<))U^>loAcEcQZ2~9FB&vk=Knm-4Hy=w=pZXa*U!cba`Q<(C`xf%SP0H#E= zXoE2AYhDrA2#OOl7f@U*YMy|O1jPueg=6u5iu#5fcn%PvFNB{!-R)_%4@xiLXQb*9 zd<2gnAU_|t+*>d>OwF&P2Wa9eAW^YanJm!A+iB>1ck%|XC2B<}X_E4oWeIP%Cbtt_ zKC>zaqQ<+L8FuQ`i-Ckb&-A7^bAR{}J-qG)UKJ=C&KYb=DO&sY#`F&W!&*zakR~}Iqr)=|>*T3sc65*qVOdYI)|Fd4({DKI7{ZVS% zJblD|G}&4TAYBVEY0vuZPObb1ir{q@YA&wE?rP8oin&c{T@&oWgXE#9h^s3zf5<5J zTgg84j%bydW7t_nzHNfn&}ZEH>_u-~>hr6xza&2o+hslktO}fmV`1H?m$Qt1qU@4y zNe3~d#=hano-nT3leJX+;dXZo}JeKU`g9K^P1Z=e2 zqt7XA09u8eQ;;RVvaY9X+pBHcwr#toZLhX5ZQHgrJ#E{zZO)y&?>TQb;=WZ?WJT3e zMaG}`*N2&xxj2~NHnI(mGPzu%UGg&9{z-`O3c15vWK*tkoRt29r4P#k#cqwq%$y;z z1a2sz^$i{>3GYs13(Jn9^%r>JmN}MdO@3XHo7!nO_Po#dTc@YnHpdi@`lyi$ccwY} zumd0R7HqiKLHYuwzEc7IoXTJJIw?mW$4@JCcE!9}K59N!ZKC@CAbd_@H|$%}%JqAP zHq+XD0*322&{aqbBvdQIW1!r@+=I*=dGIo49tD~u1Qxd_i!cltA|tb3g7wAV#=lI> zES*Jmp5lHo;sV2;SN8`{rlW&r=~SkZXV<4ISn)WX){?7a-r|LbCRGF5mmXc09kr~< z5l?U7+x1Q@K4)fniAjH&%}T>|F0{(b}Cl25l7~P=bMh1D#9l z9*J5E4;+zHVRTS=HgNBGKn}NnG%ciVt0}_gs4BpONTs;eOmfXN0sidXFDi3PCx5h1 z_9y7e)~!C8CYB}Fr`7TqaXhERRbuEOUW@iyfB^KzxOac<6YK(8Q-K$ZBUog3}V zH}rHtm;$}tGUR{Uej{0RVoo{+#AI58EI%Y)1YE-vl$iBH2p>xKx8ddHn z@=DXJ;I{usdeC|N&RG=!D^wpqxl~^Uu{>+DGT#D94|h+(-J#6Ew7_7>T|{o%DSU&PJ4hAUNCLnbdiac&(zfqzzj^krSFAt%fmZm?dP=oB>Mpq z>T_jc=QnV*wT)Qm^lcRen(b37mCL59Myy`EGctjX@u+P()TJeY&?S=?ki728 z0A>QDV<%bEclPBpqgV+3bHMUpHUYjpxSK@e`a{07bvI(X)eWZRA!?DI8LS@D94jzG z@4*nEHk|dEtZumCCeK@9COBafUy`F|p}9XGz5uC-CpqDSmTB`t6LON?>vN&}=g(YW z?T@bg3^>682%C1O#+vcmcLD@NuJ}~mL0t>r;vYF3hvHuwjVnBH4M(|I&?oba%7!rNhNJc$tywJxlj!-q{Gh)guwBc8F1 z25s>YiDSDX`o(f_Xspc3v>$I0G?>T2-^Bj1iJB}G_A{W<&jkUO$D|(W*|fw4+Fj3n z=q&VpHG786>akFc#y100J=Mr#*Xi?%4Np1_nG(*!X8F+27bDeK>6H=86h^!S7ZvR= z$t=Xbxu<8^_FH4e(FZ?kaE_y5u9K3_g;GMeknssv=cScyn3wru*SJ4 ztl=+@?Re(#$F;Y6jM%i%Z&wol_3RhW?Mb9}-%OhF5&X7lbv&M~b>Sq;y|dm~jJITi zW%ofV?J3rf%TmCx`Q+m;LK%BxVkJBC!!d8V)7%McfGFxg4XwQv3X(gm-%QG*Ep~iQ zSIIdgb0zum5?rP$rdsMRY`VBa0z?+jISOC=7EW4Km+TMjG#L9JyjR#je2$%Ztkxc* zz_$*oqU8-z`QZfvB|I_f;h1Ea>45hnP*SmE!Qy1lFi!G3&J{#2hwm`im$++QX4fBLQ0hJrkQ>AdbvWspF@1sf^D6Sp z+Tdt|(?M`#3z-#ge+w9av_ACVd4u}!!_0FVZate*KikBozh{l4Y~oCM0tC zm(X~6ZE1$cOy@2_bpR#{H(%hbi<#{8a(elQ3lsh2xcv>OAL}20qEX_)@4OQXiiDvB zxn$pdDv$%&`Yfa+Jbk-%SsWye=K)()i?$4GY|~7C*tx|l`BP$cD&!CxLk=w$k$>}X z>)(r5jybf&2eRG3#SA?S)^FD5&kd)KD`6d#@Lyt7*0$Xb7DmqqysBbpj&z$S_wa#* zXh$sQ3<}%FSOG?XU3K-;ZJD{b-zYttcp;C0T8?GeMZxF@nRyqTBTw+7a{q|wx_*TS z9`VIXCo=KsO?*LhLO0HTBoA4FT&}IiK~#UUKFoyYRlnjIAWr-Pdbk940j#jALmEqa z=6>@9U7sN~8u1zdI-7n|Q@k(7Qk)Z6Lsa?3t!=SA(h24~szIXpV?Gn8@>4s@IcQS1c*FMVA z3-!?hp5MB?H|I+*RMRvCUV7thpyM9eKuf9zZ`ywH`aCB3j_f@xEN8!iyR=-xE{n2J z1%-Paox%OqWB6%N`1rK6RjjZ0mgAXmld-M#7f~`o{}cJx?No~@aMyfP>wvD4@QR~R zTXYewnCFX)>hwo>+C|Ne`W}*FJmPXm{GQAzO^S)5K-B3dWsrF&HCOBh2bTPml zD8UYS`ju)?NFHcl(ta7 zZP9HphID-ZhD4w)lr1>QNJaCMVRxdSAO#{E9TE0v0$co_l}KHt{#5%@BWe#nbx{{v zFtOZzgci4+q?%MA&^iaz|S6eTD)dbnC5>@f#cu4{5j+>Kzwc$l<9E{ED z&E?j)XZ*wy8Ap%1R>#S~05>?&J=iv^Y-m?woG0BQU7$|ywW)Nh0PHgdsF(Hp zkH=x{klUi%c1U390mhvuD^4mmO`Rn4p zESl1WaNqhP^?!!;WIs4`PvxH!q9xVX>?auCdLG{`eipY@n5N44$l!YUTC5gk5d~65 zbE=J4^H|Lk#N9^j*^cezN2sxcY4}$(cIs%H%J&{L2=_qxU=!?y{Oa&CfQPEWO;;MI zjo0Xc9~3FTFhH(PzC##i04hMiXnu9t1TB+GLVlQGobaSfxw`T=3yWI8ogmEJp6j`n z_V6sTXdl*@yOjan7JB=hT-;c>)u>rQM6W~!zq)UTrhK*1{p0Ot41Ur2i2@)$=D+-J z?J{p0XEW+&27;6ByS zG}l(1f|BO2?aJjAV4N=mB=4B?AlHbbRycFKva*i+ z+=nX|3{i2`4LdX|6jKvDQ*An2cr}WZYB^8>da!LR9Af2(w=Wcj@h2=SJK%Al+{ozZ zG?=LBuVCXNu+mfn8Yp=rLFp?IY6Dpw8||%4e~+}szY3>!K$ecZ<+f@iin_=KTFQ5f zg||6$1eIHe`;k=DM8xe+Vm_{KO?yav)e79pXYM^9%>97i@$#<=9pL) z+a^6c+U|2)%uruls3F~KVIA}8&~~6sg+upl!gcS5`oyl}7fxgX?dHm%5yJ zFwt?IpGOu<1Dnkl4e80eR!N)EE=a)NgMRn z(`sgTnFX@PakUn4 z1mLR#z`Io2E!SL`Y_}_AC#Q!txDJn4dWSzf2Pbs_4BwG~?ifi^kCv%?Y#GEmkCKC; zMsGdturhc)ILK1%%e+PN4KmuaaMN~?of^7bz=VD#NPavDSXoYd1cI5Ax*_8{FBVgmceD|9G&?>4pF!=F7(h>7miS=B{rrv z@sIRoC!#Xf|DjC7*`t5yMJh=hOcEW~zzmE6AzTCsG_%>Pq367gN)n+4K_+<|;3xv4 z2O;@wNq$@XMW_UrTpUM8J-hp z4BQT84T`=C^yAEZRG;p@>t@yY)hnWhfI|n>Q+-^dg`B3pQBh-K?PnyHJ?(-pcQ(@r z)Zi8e1Xm%I4=vN-#0HVj5ge%$kI{}7OsY|`@l-c^%a(H1=8mB7b>j1#VLD9FXzY;` zeR~EiqbIB%`;Iiq?B1TsF{6|k;sA0N$3y&!tkv<1QWWPpTPV>-5#wak&hY>JTYUf5 zo+hAe0|_(JPhldMj|9yiDj_l_`CgL^xJb0<${J|!T0?P08Cv115+L~$^%l@MsdlQr z5$OdozEE^FbJ8VF4d<1yvg-N)k;-Etd%wvOkSO$5R@Vw5yuZBIQE%q0BrJl3W~Xa~ zgHqsGW38Bz6&kv@-nEKHBsI(;-83SGqWr0zo;Tu%7xKFk_OIiVoywna0|oyTpiW~h zyD$xC=o&b6a+n&#JpqGq^ z9%%^y)X-rnPa?}bR`#x+v`#V3Y#tVr}!H-30?DRr`B+F z13}g|HM%83C{{aL^JCfPCX9c|$zg@43zoNqy$sIVLktSs)Jl0|@^Z2S_-t6a&i7)W zr`yjQ&}YZDm-7eh0A*-Nxbzh4VU)D=Brv64{(u2Qe-`haSEm3432pPp_i9MJxO{ zq4qtP`atM~X39SjXaJ?sDR;6FwuP_Dtc`Y*d(%T61OT5b3zs3KRcfZoYCe1X`RmHJ zfZ2z?vF967<@xqlGzb*FyQc*^vZ0hE2XLFYS4H98>E&08I*n*Q zsIJx?xMoqx|ENv4KSp;!okrI|`|HL$PY?QTO@tVTZ zUqy4V2QxZnNK!wzhIT#$)$6f(K5QB%Aid`!ub%Yy53F^buDbcgLH&@M;;15N6-aJKBh+#)Qkh=Bj^ zq7V-RY|xHw>gRNY09jB;`_!H$h;^+1T|1#Xe+>M!jd5Mm5*j=C_kx)#5Eoi#Hne~Z z#vA~s`nIhcY9|YwS)riTX1ZM)x>PNu?}{2$N|)=;h*H)v@l)~_1-e!o*1xmE0I{lX z-h{*cv4z;*6r^pM-ay$+J{}FB$=a=vMHfOQ4ebSWxg`rtr@w1UG`Wo%R}`$&2vTYK zs13maF~<9nk}rn-*47*6JlhV6Q79#v{b}!|J5WxE_sAIihbEDeFxoDp9K@sXi6RFt z`@Qq%{xgt)kB)0srZ5NB#XPauhKp}KBuq3Ocu~8#@eUJb=Ztuq9Q!wv@ooh53~!Hu z)q-(Bm=vI}6?8w`HmGIl#<1JKE-fpM?d^m}-B1J8R#=OpMAl!o6%aVH0RqZ{^F3?E zG^1?LX8F0cP3_5)!t#}sCQ6&Z0EJ7#-Dealkl^vJXG{p&KI%j%*9Ds8PlCc0LiiIb zFvy=U3b8QyE_Hf+Z{sANymEf@tmv}FiOxy8AxV@U&CKJawYH+3WE{tu=lCI%7#OBL@jjLES8iL=q{S$T^Eg&Q=PdkRvgw-}uf%hOL=TjHMA8JNa2 z3mSf^%0p_fqbwb8A2Cr&MT?ojaJt77;2w`ybZFn~rD|^yyBQM#@;xIE$7eetSr8H` z+M?>!?o$={GB*lh*uMWQnXC+YW|5yaQgchY*-E8*E@&O6QsY zKI__$5EAIN%Fe7Qjx&?Bpo#>OyM?!DUTIbV~DMP8u})J(nA zpX_ybJPN|fRhtdc?FN2)gUzO4CE(2uWjXR$Zw5YnFR2#%93O8h_M#H(a0I>Z{5&w0 zQn(8j#c4G=?n>eHW4s#17}|ICnTBL&h9&n1ln~J?IM5=%R&jSkupTfGz#=}F@l1O5 zG_S6=B9CJdb%$hEy{D3I8PdrBZdDhUmW1l-^hr%Z1yzM-g-zb>&k6(Z1g8qt1GzYC zExmY+rl9u~rZ_v%YhSxcPH zVO=kH>R+F2m>0h<>%x4Sq2vrn;O9YC(yB>R^c040|3vXyFY4_8?xWjzv7;OIhxGAU zOWp%45waHXZSKoMOghxxT>MxiaqhE?DSzEQou25-J}SapaN_#?9BnNXOgV+Z@a5f( zaVeDp;=T)H?VFto*Wru<(@KU~V~K`rac(e6N%aWE2C%4>gE-%~MaXVQknf^ATp}Pj5A?MZAA@v;Vve4jR zN^c2K8)=;DbG)f;PsdkP@+oO^op#lOS2=58wPK(6aAGNoJ|w8L{v2qq!}5#z*SpVK4ky>){eQEonH zWPbUs&Oo94N~P}oZu)5nVEA^a$_Uf?>9jIGUlS$mN5vkDtq4K$H?=R~@weI(*$aVQ z*5aK9$sD_f&*(n`dH}=0FD35O2F@7TrNB|TNE z4T>%1S_gt;pe>xW-O1}yoCVWR*vz`t&!UTO>pS*^a>f(aBc-oISSqFs`knsC1u6t8 zW|f3gIid$4rsxSWsB$sig5Ugl%9{w2XX>etYOkm&{rC7 z^OqVHF3RgIKeAuWdKt*63no4zBAcpt(vlRkb6W5Ez(7NRtqQ5{TkD66T*%{Hk(<)t zR~#*R)gO))(>J?98C*f)-w+DPBE9Dq)62WLHZitv40wB>MV#a><6S$SlVK_yrWBcz z`UHkSp80()PW~`BgsMwB(%@Aa!zb7e$vG8re{LWWB^v8%a8o~`9 zLwX-1jf!`edMY@wLUy(F1IUGsN*3alXg2H zHPCp{Fy%?0@Vj{3j{Oo7SlL}yZeNeOIMv|l;q9%ydVVqyYHRu_(dwj*V)#ivrXuL^ zDe>{{&;g^cmo3#X*CT2J!-Go4Q^1qNk276D1mL?@P!zoJHdL}UPqVHSX1WRW|7w23 z1#S*bLDf9BhQt!61nJ-UuFbB4{*wAUC3lRr*yZ856;a0K`a2B;27ygNQ4EfsPN>bOWQXObAH6lxu}=ZuAt<94UF0M)dp1f9Sj^bJuL1E>F41-XBMJT`hegL zB&>N_?#a)KdSTJrS6S=F5C0aZe&fEQa8YekDD+xO$ONBds>4`VOjfq;kH~w_coGKl z-2jcV?Avu+B@3(Q9x1y=XT)l3e4AG8QAb79!cko!{eC`c>=A|COB`T0itrx$1>`O- zvOYM9xnL|G?rk4)IT7ns;7(%)=eVh2pJ~zTXS${~6s{C)~=VHY`bdy6{Ng6}V2? z-m0u&NQ{OduO*bu;U(%n$m??20yMa4^Ya32<%_L|yq}gwO6+TA9XE*JP&bD6(xPJ~ z9X}#@fBNvCW?`)zQ-3j%9|kc|myxqMk?(QVEtjYp3VMvD?*JW`{Jz!d1`$;pSg_E= zaz+1?+FSP?t6^SYbksEAAh4BUPyL~&MzbL4!@^U=vu3w{p%;XS;&nK5meL1a*k*4F zhGXr8*pj45W6OHzaGlmr02R92T963`N|nB9CZENePsxU+pF84s!VhNxO4EqMm+SR? z2dUU>Q{EOKQ|A)UR1J5yO2McahXM`{!`;fI>xl&#X_p7?IC*Vf8cF zD_(7W_>=WEx+~=U8*2nqoPZ@?NS9>!{3)NYZn{p|noqM|w*Vo5#Da;Te^vmTacc zT(M>7YV@d>(|Na46(H4>TvO1^_W5R81%KKH-|h}4f9KC55NILCTm2kFMqB%kyb)5z-iFA0QA zoY534WoiipP%7i`aa#U}m?I;r*i+EED`x?#?~~T59}Wl05w2lwUE9`gS3A|Hd4#N~ zr-9AvHI0uG7r^n=TT^>f3(6?~+GgIa)4;y3p1xlFb~B|#&}VLvQFRQNBdYE$l+Nu3 zq$kW4*~f8;aIFS43`y|;Uda4Ns{e4=i91^d26LzR4D^iA?;d5_ljzqWUU~rS92^X* zS@FwC!V^*CR!2Qwb*M)YKo~J&7{G1s5OPQB7%xPp3@pZLFU)P@e6tblsCB^admpYi ztuM60DwJ<||GsZ@IrA5m%7QbGGOC@M)50{b@7x!E6%%dKIxiIblQr>%;f$_q!DcDc z;4y3?P-SW)AJxqSSSPiJJ1)M?Zx3Joe23E&S=|WimH?j*LsLCrUY7N~-XT*E6C4 z0jAKzCkq0e16lKnruCAOxa{@&9*x33KcwUQnWX-ELA z0R0GLeCmRe-s2&Y#LdAzh6h^~^EU1z0xT0bU&1p*}3c%ZI(Rr ziCmEgyHs%a<{RxY`u7)8`ZLZXunkjVOEq^ZMe*cTg7Sy?J%7-=hWb6x-&mB%w%h6O z0o~MDP9ka)md)!jWQV#YT_@ydkyUsG6BaWtpHz+Vs7Fp+Q9KoA8}!-694!GK0XnfK zXZuW|8M62tnsrg_FB-nTyMc}G-GH^wLhoc#Vie}f>tQ)gr#mOMt#P!^Mc2t8A)_nG zxUoadLK_>xRwDRZLqDFqI>@2aEn)K}AR+0+#Adk=Ke?D;sj38Ry9sIo^0Wq1&VfttH%j@y0K*>Lf zZCQ|n%YXF(@GyQssKQqb-C;Vo#I+^D)ov!x^(H(+o&cg zs#L0)4|hfdK{MF?j?$M-bWS)25R+XdY(1S`z-b_|`g&SuMK~4IWd}-vU1gcW(Q7YQ zx#ot>(V-7ciIQyxlbxBp+y6zkPLVLAvtZQm-p8!RWhi35do+K==98ykI`-6wXfFED zf7nkBXa1&1$HrBOON@1;PO)9nSgGPOs*~%&2lT=XQ``A6$Eo!CvuSu4XhBCm(_M$u zwT$chd-W}ZZ6;2oH!k^Ae-^QuS(CV;IvKssOZoc(oNv*+gY%meK< zz1Pq{tlLV)=>~r%A%?1tjFULX+h;6Jwcu?1JA)%OeGSB+c>KQinil%rWR{YG6<2GO zl?F|*E4lE-fai}OJ1P7LFj)EFT-uaR2>Y5>7uk8Go{a>i@Y!ZdmHVt?`?;~wZ})>R z+-FFW2 zc0m0sm7vWbLvpO{VHL#=ZfOcQ(6L47zd0*cCEc9dza3HnG;VbO;z5uH!P!cd-E#4X z1m|%61bMs-fT#NsAh&jH*587iIl6R9#JBw<2^eMh%E53^dLLkzY3vi>G>^`vFyFA;+aS z`{(ub4)OhSd^{timD<+zryNu{xR_^s3q>$f_do4wLgJpQ*AbQh$*r@liv;SDjpXFY z?IJEcw$5G+cp(wug2MiJd@N*cdp8!b-k!h)eZ^ljh<{v;wWhk(s7mseBB847S=U<> z+PybSyAbxbP2=%Xu~V-S_D7{&X$)N}C}Fies0!(3oZTlrhRD_ZIamM^V!1Lj%Jb&E z1-F+LEKveR%CPmJoD}kHGuQHvzB#W?yz);|=7r63|oQycy*PCicSlT$MCD?R%6zjDt{%4G~J=2esNb2OR(ZKvR_t(VD`Kq z-+%=P@Bxb(bU@!5$0l(AS@N$!NF#-pi~`NzdCWmP4yZ2h3}a<5CL#YL`EcHnI;HW- z>XZQWQAo7$<@6H9Er&5_Ue^@w3{7QLzv5^4dL?768Ho%hMTz-PS8XUKap)SIS3_w< z+xfEi& zvN{ucTnKZ%f^({>}LC5!N&5)1qDGoyGdiO0Kc9mL@C$ z*o}6)Y>`#f%%4Snv}|S=-6n!#HxT5U;9ZpOG(5_H^h!6e1b3pX?2UCYoQjwtZF7Q6j+|$|r10!Tuf+%k`g1k} zad`bcHDsO6=W?c{z92fH25e+wJ7v5Oh}l3T5yVGgkg1PYmeOr=SxW8s;NB6^}eM!}}*4r0bIpHv! zW(DJUy&)zWwJl<7kFX7cR-$7pGZLMqAfVP?)Dj<@FfRfFf;}G^sJYaS zCBYc@mqbo#2;Ss71FnAaC9CEy^PkUJ+C~VOgd|t#t%~@TscQ(buKx9u*{%HUO^|cs zDINQQvFV(jRLL($& z&SydU*?~5P+d46ngZ;Chh~qIkqxlIDmqrXcRY@i_lvcJ%bR^9T2$l8aevVH~GHRCE zSne%dk!uh zy_<~fTPe`tV?LKUSdBj@TT1_>Gl3Jo#+b|QaIM!W`ocA;By|u`hD6<)tw%6AoGi`Y zp4DhEPjQ_kSGh|NsI@u9?}l2%@+lR8kp6onrLy2aN2baYrYv9-BNPJhuGtf!5W|w0 z8~yqja&Wt$2Z!SGd(8bR>(P^!r+IyePEYJ+38K8M*qR7deA<}Z30Bh({I)i)b?@W8 z9gT0QK@SOl09gO{h(ji8lJs7t32aalMZj;yb*-JP>;aGf_Y0`v$cNEYSM*L;$=$Nu z2cN|m>T3zHG6_;@8yh;b0&zXCJ*y#e7y|bCl1@Utrtk@{=?=t3t6eeUtty<-=-b0X zAreq6sumy3wBrIUXiB^OzGVj)O4N3rJlGN7)A2fSYwrsfuL~=Jra)g>CFwCv1j?!? zn;~U#R}8ZO)2j#IoF)_uwZh+%nd%c7vQ5F5G5c!fX&p}E^$ z&8^SW+r-VB;*QKI()fUbKY$`FEh#Rig_2h4E|Ia@%kKQJkraeWO4OLbFJuFu6SJSU zrV!=XXfoF#>6@Jxudd1%!uiMZ(u*m4E85I?l}R#iPT~$z_>`_(!oJ$(=(fT~T#&r_ zo%-uTPNHXW&LbyViY)9EAgpO@uAnlsY)s57%zI=i?6*qHte<;?9ZU9A8t zoLpQ<%(_&-EuEi=c+!|(*M`bO9_&#UUx|eYA`v+5B8h=!iSYZuU&hb_(8Lot)Sq1Ei(AGaXl&E+7 zCQQg^+SJA|qud_Vm^vqbYSeWzMytlAVsR^n*m@Y?c>eSsu^<7cH~b{W*?bbn(EfHN zR~Uk5+2ucbHgdzbj!zm~NLA}_SRv9NGWj!9r1czl^)^Vz<3IPTDo-Ll2Bhz^J{nj}eb&7+ zlnzC@f`#<^N#0mM!1A7_v+I^%O-KopSxs#fa7-%Q`D0(V$3yMq0+yjLFz(Wrc>he5 z*e9P1vx2aIf!~vFrV|=;$S*y~f#P7Hs1kFezF`CH-tMUelX6PNJ{jKWoniKvT4$bVLNbbO2fERAm(V1_GK?8Pf-6pM{Igfo9<+zEt%{s$OdW zTvc9rN6f9(J{qh1yJ2I#Qkk+HGb4zr_6U~6zd@vXYKdGKY9 zxX$Ot2xCu=m;GKQ-HtR~h$3QOm~E)YAP{-bLEfS3N06-%?!!x2e9kqzIusYNG5;cy z;~M8Ts&Cs(IB&vnRq4y2Z#!47`9R?cw1%?m#L;b@E$K-j_gUQ$&mlgdZy`44n=oK^ zA&}S;uIsvOX@x;@=+PQoD}5Rhl-XF$V5#YjY5NeasvXu~QN^QM&J2LuaK5kt{~5hX zz;m0Zg7cz(X{Dau5g~6&6d7$sT&9kqj3`QtTx~^yM`ojK$eam0wM%ZsN^SPb|H#V)2``K zvCxz=>F9%lAeZ?Tu1EcdQ9QVTjZMkq{Q00DFrLh;b-71u9kY~$EM$qdjtDeyMG0nB z5V>x1s`xqB`dv$~(Z|DQLf|Hd3jC!;7O`QcZh@fvw8XlI{>K^Q^i4-Ol=0Y#myi>L1!^=bu@=j-k4dH4xAAt>Z0;RPQ3?h%fxl}R z;2gkopjHAbhR}yBDjX10HxsFwGM$QzGv|_^WfH6>uyD#MAh~inT3l$4sKJ5;=vLr} zzIMJDSu-&s6IdY1PS~h`b~w_+O{S>4!9mo4N-`9%J)_ha+(~DmAmQT$+!qz#$~_3$ zF6#Smkz~_W<7``xXpPWI5pdEZFmcl+T0a#cEHE}D#9@>)2z9>H)wWM0Do*Nc-ows+ z9eIFEQ5CTgdJfS{O0tZH=1gVFV!>d+bUkc#N{JUgH9HRZy^fdz{Ykk;)K#LL9WX*! zf-pXfv~y`OrR0TCKfuUvQx6_!swxA3MdNY z9R0PZW)@Z?DI}5xq5dNVN5!J^6H$WD#vb?JsI&w9$FfQX?U#hCEEXd{c5#QANj-!D zo%y;FVv6O(mx=;EP}0acx^_Nw<%biV%$?yIot}uZecK0V`_5QpX@1A7j!H31H6kHy6ZHrjj5wuoVgkM zm)s<@PU~D7F*B|Y6ZB`pCJ78*p~(k6D~VRt=`Ys?dpp*6u{9~opt4yZ^YecFxU5St zkd!wF*0SPmz)Tww3>VqF=tKuMix6t3uZY|>o{Y*}o8<%7m zYTK`U%g}=PljmYLqvD4(dv^XD_}YuR;_MZJr@Gri;E=z=%Tjn=F43j$EG$yk7aTrO z^dMp$e%|iu!=Mtb%{yS@V3j;iUh!-hF7+jiZzHLO})T7(BmE;n|-577?OC zUMxdcO2B(jXXkrP;XlkEThcGAS*NwUS?N2&`;5(mnWI`?VjpM4Z<3cISucR$*vftE zr##^}kn~NXH|fUQ&_DB0QBd=#o~O?83&uM6U&)AaHGwZFg^$R$<}kE78H_tw)0s>% zSebmpIp$f%Kr^ZTRZihhO`)o8NlF1~$Bh1BLRx+7eWsy10XXh(-?wD;c_Sk2@B^^j zIz92i;`^9~)YTIoYTOiPEDOJ&Nx&h9I6$)l>}R^$0BeHUZDxAs#s2!Cu(5b&=7k6g z7kzS&1*7Wqw(>;YjypQD(|=}oQT=YG<>&GG{N!-cf8PIH+CDVbGFan$MyPS6UoBAJ zNZ&27P`GqH71$O3OCOvL1rD@-t9)n%^|c-0bt|oB#levI)sxqqUv{mW2*!K(4frXTK&z3X*!AG&!s<}afU?wp##J9xxp=!chNw9jUg+)>z80?KkVlAY^@dc zR_mJP;?8ra$f(KkazW>@(#Uyg*WKj-tY6vqO6l$*_Lt9wsArDf-)#Tamu9nv^jEL; zhdaWqhWOuu?>Qm|1LWV$1zb}Gl{A$jAlTOt+bZdp4KfMu-*NeRelU|4e~q{oH7Y;( zRHzh|p*JggZ?1r!SG(lUeDCcQKho)P4~3Wu&*1m4(1T{eF}TY(61ilLtNi__fpw5Y zStaiTt(7}B&jvTWt6yj39-cx(19nts$Zo?ZfMy-SbO0Fl8(^1FiOuj~PFvVmZ?9{T($770yO*+q`!f4ytsUFb<5D zxL{ghE;^hXS~+_=gSDA+HDW&&IcmQ^y;iqh#}Q4|+?A?YV+|V#Fk8Q#TQv=?Xj`Xn z&t$W+AWpn}7A9_TFeWY)Pnnb$o0arOOi`tPSe6QD2stlC7Y zzE&#|^j!;2n=NlG1n#&ro|jFD7AbF)=wnqy3&b2&k?Om}W0*nl10$E|174yblqHq+ zQ5yVuitO9*Qu2+J{M(;}#?LW=&MU~RR;!jHStXCUT)r$V|Abjzj4qY~FCuY2-#Kbk zOHAT>!J(bXn*UAP;f?M7GjuSqf#qaNs|Wxk2D0nJGOAj8n*%u6VHq_6y37Dp0Q0{! z6$b}b04EE837`VYC~a@y0N`Z(pNSYimyb(~i=CTWm`#kGgISz~nT3r>Sd2rInNy5g zRDxB6ok;-j{}1_hirl~J{5L^o<^cRRK_{Q)XBjX?AKG&O5cIYMe3;~Z@w=H!DefaXDl>+(=m*F`B(xf1A?EM7 zdSM}jGyNE&v1k$=)ov*(A7C=e`4l4vMY(w7KNq+ZLVM1K@WO8DG`w>F9j>Wz)?6f| z`d$2Vi`hgXr~Re3$ekVzLDf$}CrmJ24^O*1;Z|Lvv(qDm(e;K~VdIfUTC?_YlCXR= zb0Ee3!O819Q1OIqy>Ldiu^PnTn$2K;==xCrjGiYDPtynjC4^&U '0'); + SPI_OVERWRITE_ENABLED <= '0'; + SPI_OVERWRITE_DATA <= (others => '0'); + DFT_BIN1_PHASEINC <= (others => '0'); DFT_DIFFBIN_PHASEINC <= (others => '0'); dft_next <= '0'; @@ -249,6 +256,8 @@ begin INDIVIDUAL_HALT <= spi_buf_out(12); PORT1_STAGE <= spi_buf_out(5 downto 3); PORT2_STAGE <= spi_buf_out(2 downto 0); + when 7 => SPI_OVERWRITE_ENABLED <= spi_buf_out(15); + SPI_OVERWRITE_DATA <= spi_buf_out(14 downto 0); when 8 => MAX2871_DEF_0(15 downto 0) <= spi_buf_out; when 9 => MAX2871_DEF_0(31 downto 16) <= spi_buf_out; when 10 => MAX2871_DEF_1(15 downto 0) <= spi_buf_out; diff --git a/FPGA/VNA/VNA.gise b/FPGA/VNA/VNA.gise index 67a2b99..2f95209 100644 --- a/FPGA/VNA/VNA.gise +++ b/FPGA/VNA/VNA.gise @@ -224,7 +224,7 @@ - + @@ -253,7 +253,7 @@ - + @@ -275,7 +275,7 @@ - + @@ -284,7 +284,7 @@ - + @@ -298,7 +298,7 @@ - + @@ -312,7 +312,7 @@ - + @@ -365,7 +365,7 @@ - + diff --git a/FPGA/VNA/top.bin b/FPGA/VNA/top.bin index 1ed33391eb13289944ec72ec385447ab1d01a342..061f5348e1ef6208767750311a53ea9fea50c42f 100644 GIT binary patch literal 341712 zcmeFa4Ui+h0 zgXY4Z7z9WW!9UzyZch)@1DR$I6g>GvGFU_KXmCy7Oj{BW6mY1fSwfOmUnf8Wc@`su%!*`2uqbo;t1U*>x+U%vdS%B;+)noR0&<&kq2*LKw% zTD@|&VPBcv+j`}N_W$?Fk0q+}57&NQJ+U@cm$lmOclz@BZ@lty>+*luQ|cQc^|Dv~ z{$z5xr<$izm7IuS#~8=&D|LGJEC1m1#}t6t*IrH^EQ!vZSMmS!0fuf7 zBE;wMLWbmMGXHZ&qsD1T)LqS^)OTCg5tV@PdIDac_K4clJnhyK1D+=%G`)~>n{nt8 z7#upr?FhF^;C2bTU`oLFoVK3#9KX=VbI*GP+&18L3495Zfblj2?1QiL_%?cBlz{cw z4EQr0~s7TfpSa-!!pxjCtQN#1R4 z%rW$MNky|99d9}dwLkwY;d#AoJg#OGk^Z;zmL^F6Vf)FS43N zVCAq7;o-odV64iD5PAIFD$Ht#RD|?-Xw}CNK&u`?VW>&EQAvd#szFK>+Q9GJX#hSWpuAIZXG~fq9PRsByG9$3QN~yIAcxF>i|0QJo$mM&dH-xJhC` z;8B95w=9;DvD}we*rwul-WH0#QD_Z?D%H(EAUI(ks@p&f&aTu+iCdScFcx|{EiuDK z%!x_qqV4%|2~kI}36^yOZ4f+Dc)lGd-&=?1@G4?NnaT_(D8GgxOUY2WFxgu#{!VAivPtSK zQDQmKNR0{|x8|`H@hyHH5T@VSF( z9dOA>DR{F`Mnz$F@W!tc4m|mZl3EP`j!v#qN@EW#e4cVo!kxD(2R~Y9vo( z9xPM?)VQ^aD%cEUVhC1)N9E612}!9fN8QG8OG#%eh6BP!ODyG%)^~?GBMR^EYJK>6 z2zzn}S8#eHhCI5b)HnWSsj7iWe)y_VS8=385|c?Oan!#1SL+tsxZx~VhXs#6e$=VW z>RY|0)aq&_hsf)dE3O1s3RUPoSdkOPDy+X%*gLJ%-d?Hs>bg>Ie>*Gyp0!{)wWF}0 z(Ql}g;?&m3UHH9uV-xhdZ64Bm@r652H1Ea<;DS1V2$|r!)%yeg=<&5{*Dfy9dQ`14 zvI~e}h&z=FzoXx5Z#7q6j>9zU3cNx%ZM(c^@0ZB#fbYa~Q>s5n@1p-jS@MQb@3 zlOKN0E?cKq@;K0Oku!N?;p$uW45)m0VgW#!SXrzKxsV1)o3^;BtpbsS+ zCw5edXh)F4ex)dxwF(&IP%}J5x0}{gfU%D2rks5S00G0xmT)tW`$)V)up|D=QykK9 zY@Ck;w+y1PP#25kVC7Q&yl23A2st(qNh?#LCo+& z5D+xE(9O7I^=mev0gTByDrU|*airo-)H$N_5r?48-cYRhJcD~AMxCgEOB(%*VsQZa zQjX3a!e!{Dd_Mc^Xq-ux%`1*f7+Xi62a_pt(=bNH#+wmx5t+P^W1Ozy91S{GYDYV* zOp6fV(h(t$GXVKb@i0cAVeB-Ff`$W_n2uA`7?0A_rs>vjb7(TmN3Cr!9BMiBXPqVp zIN3_slfP+cN=-w5bEx|%M63}FB0;HzfaFtV0_uR9X=A(#ya^I48(UO?m|^{_(c)YU zKc~&YM_M%vX=_@8ru1?^v5u8N6-2xajK(pPZ{jqF@EDb$B1X>@%|ss*d z2$|HdGo!&tFzzBS(2avI-t2EGR9pz>LiN>7e}o!{!yMY%q+op!W86>XYVeBYBa3=; zNv6m$iyvVWzEZuN)J3rlA?G+n76H{mJrJrIa_cn+r?=%bNN9mG9$RG%YBNSt7&pe_ z6wPpqFydO<(2^v=Y>PxHwRFjcWCNsqG#C+I;uvJyP%7%94MbzJ)6Wf)ir6Vt-xV?y z4Ap?BYv_`X&Kp5;Rzp0c!9`f^zW$8kzy8eS7b(n*%dn5<`AT`dCkHuIiLpS#asyuA z+;{!RvI6+s5AMfTYJZ7kDJXT}kL8?4p;un_qAMP&{B1va{;R*kxL{oJ1LfC#@WlQa zg0f{;D-Cw(8u*9k{UHvyRX!!PGD5|9v;a@>VO`MYdg8g%Bf8qs+C#ulWjL#43u9Pt z?LuzJ2r>@O+?5Ym4!De{5)46jECLN~vWQusYwr)Z!rI5n0h&ggbESiJFg30tCat9J zhT*OWpyHFUD_${(qv&nw&H|r-n}w(nk^ZO~weeH@5hC2+h2Lrk4{VMTv<96AK_nL! zBSn@Jqb45>-zwXu2bivmguH*3l(}H$QV&UtPzy*-8I|xlBz59UMor)czn;oKr2`%^ zvE|}@gHqMGOC3v)x;JV_`_QTZ6T4_B+S{k1icQgSwVule%xD3+8uI?Ah5CdaD(M!9 zxFm*psCE%QLet9<`aG1nU10`yD#ai^4|gOjd^;e8P{qOTI0ta;jEqSmoc}vIAH=ar;yCZL zwgWqgdm649}DCx|!MX1S@R-+O1mS5qoEpsm8%S)o+KrXG`&%T zWYG?s39bj_2ps?+a|VW*p?dcatj&h8w3GG^(mkxPMD zA8$s?0W9n(Jw#>aNxX~JfQiwNbvjlVW~nWOOC)+-#KHqE73AX8aC4Q2{}!Ce>K&3+ zoUy1EIowz0U6^zQ`UxQL&xEjG;Y?9Hqk=9YW+hm7pMCbjAD*0<{K@Lx>fT${e@0eM zG`Lr*6EG&xy&OPac^(!WK4}Jj4vCiuPo)Qy|2~s>1)>>K6n2)()WI6?{_@^7|`kyt1D}2Z!M^%1!}Use){yqi-iSyzjKTh zxQlE^pCPviv*WP~$(g@HDP0w%pJyx0pJC`oz@n8{Xqv`#<+>cP(&xsxb&-RHc){j@ zu2zRWf;cP?50%ha2HdGt18O)VOz*ZCB0duiiMz?jVx<}*MyadCB6B#Q40_;Uqoz!f zQK+4y%c8Z&7k?h&V2x1*B<)?{Iy1v+jgN2;H1I+>PQ&n{<>fp$Yr{{wR)#ZO#-IhJ z$^LQ~(TBO7fOTkJx3{kZ6>{9{AW2XxiEM=vLUn!8i`*&-W#IH6gBm`$mnIY|b6F$) z<8pX)P^i!t=^9i80F|(XRi++_UMI?;J#fExC>BZxz}%c3mW!(!As^OZ{Ywk*5m_c< zCX6HLsC<_=Y4+G>Gs-Zv7VH(Q*&-Z;yrtl>Ix9lsnHeMjNX6~uZUZ0!TIfUWT91Xt zyBUm79L^`ar4HjPg{;n{yvAX1c!+?r5I8>E%`qkKV?85Jc)xBK<$4Fj7vraqZC4I1 zdE9rBrjaYdUL5D(!gs5%W36gfXxYXT3MZ&;Kijpb!mY(>aY!nVqF`_~Eqp>C@fHC4 z)NRXB7jGSGVx=2Pc-ba2vPD$RxfVel07IV@3AncmBb*xZkshfCcxQynZvmq`SUYSB z0!AgkISwO5&nh6~;@*lgRKrncgxc3=$E8tB)$_CUN2aO=UWx%Sl zWlunRuxi-mEu|3%0OyL=1wimLqHw@_3(&Pv*Q4%EgZnYIn>&pi+ro{G7H0FVr0d$P zw;|v<_wb=nq`Ahg@<ryU6xw@~U1kTN1OcM68vuc7d^ZKci7)Ix6U0qY}fB*YuwfQ+SqwIkT{OF^zQirBY z!~#aESO5d&Sm5p(AYr+KKz!>K>?v%&h2pF06Lsn&rb?I;(|_`0a*{>`;Qa=-hmz^i z$GPJu z-gD(2pZm^h*M96dw&3?V&VmQi)z!{N*ESAg{n5z2^^MK~-cL{y{m{{9ou_3Gh|S=s zVRyoUVEdeb#k4#T#82^%q`nu5ll#{a71gZQ^236w_5 z4u#N%DY^Iz%yIK6-tuNjeDsje7fu-#;u41iDyxesF-yddf4~5y_-t90xq#ckimr)} zd&^E*Jo3h6*kwzx8RFo^y_Uvp5D>_i2fJg9MX6xbNm-*bQ$yPQPG9HHnJdF40?>4l zR;-zKdDsX;eA2K80}8RY2XG>{j3JUJ6g5o&gR{_H!{QN*ETf4lOpx zA_3!syjxw?vQUkLl@k+>~$%`8`d<^oicAw(V%hAx7o2h=x|}+9*$)YvqWnG8EdYi zvp&w*Jm+@UR#_oVOge=^+Nc-&JjB@MY+85}bEF!0+>i8KL|`3{N+~Z~K@o(Ew1mV{ zHE^T`Lmi_Pw(^L52KiP^O(2)nzS9 z)mB*4PSDo2@g!Y$2hD;4)obNPIxU4Y` z7FdqNGCd@D1%~RkZ^>CgRmBmd?1i7NAVl*hDED z)Zcab&x2m#L63f}sGS!&T!51lZ{4{ra&_905O-N}&B%f5mxTA?MPt-IP@j0s{ckCk zdsfsFl=|F>OJ@-0&nG|Q;yCxlhFfb2J3AlxQ|!D1y!+YvK3bKV_17&pp)Q`F%tZwQ zCZCv`zIv^+;HByl@`*Dp+9vNU7EO^o}_(=0xDqcN?l8> ze&p`S>cvMMk+R(T*XK{*EHEx}@k^KM@ix|PtbgW_M?UlUw|!1xY+wT-r9-%kIpr&x zz!XDaiES0_;Snj*&wlp&iAiI!SqlBwlm)DXy++B3v1Z7rN!%N&JQn?3u~183j-ZB-8a>@7x$nF3zANXRI-CV~jH#+(#=oLgSE|G>?43I0!q49O?7dZv_kUfyw^48l1k$V@&TEO-zQr~ywV-;4!{?Th{X;{N@n2#3)8%$$B=+hSda%JH{>ohc_4A|05 z!4j(yQ1&arB1Y-y8;d~S^_vvE2QQJKi)0L3Q%WxOwL%p-O|Dv8ObgOf)qnypa^&RM zhSk_-yNi1{8Y4(rliLwR=9T~i2ssiRVgx#HLFcym#5#8&aZSaKnpd%enQjr&7?c7A zs=T3Oy+F_OINgb`)y>1)Y&ah00sNuB*ru1moJuk=atwNo_?U|Xx0w_uD?IHEq=K#Bw5#Zb?YY|*O-kC}&lNsw}6 zH3#S@)=HmRMkoN4Z}Kt7UBrqEXgKR`j^ZFZAJ^G@?z9SWU9eRO{751Irq#$UIyIh5 zwpw)>TXD}~+F^!mop+V#Hf_)DDh;Xmz!8{?!UDUYFp=90A>g#)4_+}V1dw0L$y5)w zG?t&E@q_=t5nx+@Q0BCdzXfAX0%2dPE=hngC-wfK28% z$}~0Qrf=}%vT8QToexv}gEd(JM2>PXszR)J4MNo7+yq=#=A~92Hu<#uR^+B@zXmU2I(y66?lEcUwY%~-Ym{z);{#m0+uJA!`Qr(@Vj`+CtznSsGm#9 zs0(~}yXih}_FR49F}bfn1)M?geuUhUfL+9VX7B1%`T`hs)C0q5z;fZ$Cmxt<0b|X# zU`ik6!nK{S7jROpp1)>x%1*^caLth}*hGEJdt>t_HWvIz+syxSgujzv70p45R%$+x$Xsn?4_FntR#*-8C(K3cDy^DM|XThl}pZ?UZU;WtCFn6W}dobbZ z)z^M<^2QHNCuXJz62{4N4F^uCuf+xJ7fz_({Ee660fv9vd1=)BTi^1~_2h5X?jr;p zhwR`X8nE{MfRVU$B%Dz__|?D=^jKG}U4g}G0)ICH)<;T8qY5<^M)9{lV1R}^>HP&~ zB)rv#3JcU!VnPD7dKRnt8RKv;9lI~tCKW?uOI?Oe0epw7(g&&Ns*EY(&w8e-sijp0 zDXyPYS#JKu6Gvj<%s?obDkIc_sS;~iYm6db#b;3Xm~a(T@gy3^(pd(^F}bwnek7!h z2sbW#3q5S?TPn$rSdN1m84$}Xl&>*Z7OX;SFBN)*foY#Ud^G|#g%EQ^#0?#lo(@;u31$=8F+Y?GQ>8<7SMb=K=L(u$_fw zoIvbQ+5HVE>=Mw#5r36_RFN2sN%mX`*=xN4J%_SwJg_^7F9A@aD3wp`rC>lq*$Z8U0P-v8iV<DDuqt#P>2ZEBSL0-dzi!>fg?R!-Fb8W4xaaxh@KLbvc)L<7d3x25FyN+E-_ zAK;TtdBe6?Mflncb=WN&)6rZ-PE%bt2f}LmsH4%Au>ApzB_HDaWahOa$WFr$lQZmp z0J(?K&#-Z%pBd>(lr(ha15%2};YJAnP6xm_9@icTwE><+=GF|zA?OzBDJMByn{-xWX$z(-v+?)osO`9KMzu? zA+Q*0s|}itv0lXD4wz`E-7;PrKlJz*FAE!L?g$&opb6itORB z%KFA6tYfKV$}E8EfqsWSPjQVMa9vzDJ{*FJ@%0+(gnz%)BVX7kVfY>vnR z(tVdcDl@HTC(j6;O#a$US+GF;k&x$3Hs)&1pIlpcSu1PC@#|hkfos>Qv;VnKK)ivq zck-US*Wu4y{M^Mem&`+p@q0f1Hl!~# zvNSb+{{U~JYT<;CS>o)ycu5qxz}@db?-Ke_6`pxv&0m<;JYnrs-b0+>h&rBdd}w_= z&zEbr3)p4>{g7n4RQ^EcwWS&kz?@zquSPk31MXB=_Ql$ry=waN{bmkXgBG?l8X12M zYf>5~dI8_+;5UvCT)7C?5L$}$Jgx=N9aa-hgPpy~Oi`q?O&O0v?E`>4fQ%D4pkx?r zFW2iv-E9`5_L6S$(=XzQBJe{;_8*T4;*cA7*^p$A^yC69q89?Vh@*g6piQ_b>DC`W z)q<|K3nnb(4wq7~ap4GI(5+esex@guBRy;h#_O$B_zgJEN)VX`QOKbSR$MZM7*=tD zNlUChWap()2Ehf8Au*GTkb+4$#h?MLgsn#7fK39%K+|sSJ&{ zx|nZ;I14d1?N`T764C>gi`+-Ev(aI56*PyH9&(KBEG#gfIjXpfF%`>2$W+JOXW&Jn zBYnmy8)4p!r9nHk33e(&;bKTo>c%}5jxt2wS}C zM{5nW0%bm3SX?F+!8dR;t2Fl9YnVl8^g|{luU3Umr(^hF6@~Op`ao= zs**HrfS}xSnvocnE=zpgQH?k2&jZP7`rO;tuxKogrUV|brtT8hg#~&=m(>Uxv~#qO zBu&bl2Trc;`p80z_#&J>y!nV9*ofjBlQ6@uVy00h9*Wl6&5Vw=`-~$H!8XGXaNh`~ zyA(>b+!>Fp<`&{v*3nk=HnUbM-bC2qM>jxMX%r0VV`pS{v7ddn%Ge5lgJ7PLi~Cd- z=0m5k7Q2;=RlB>O^fuLK3@I9c;56VZ*u8ES>zmTlz%~Au7F-tQsZ)yHwXQ8xMFd3y zHOBfa8~J#L(b3WvoC0ld9~L)G963pVaRdyegauE<48cM0c${;Cc#i&+raMtiBHp@9 zY_NlZ*n>|}85500Lw^0!aU;AA+aN=D;hq7G_~Jc!7J~&V6d_ZND`B{&ndNt=lgLUZT1a_d8FY+QJ!jr^2YWFjoT?8 z%>XReHbYO&h;iK#NnpuU?6H@bMc0LN!q2VctyE_fF3ZYPm#uV*znCsTwf;UTCs%J`EAq# zLp3G(2}>-1X|zC6xK#_dHvoTyg>%95bMFk5^H?zXoP2DO=WZu6S9rP0po&2{@a$nf z3t+??;QT1h!;b06gcdN-fN254bD}y@x#o_Ut$a~-V`W9&QuXSUrpJ-IgX&c)>SKAK z=YopeT77ojygCn$#OY3KF4#NND+Heq%s#1I?f9@rY#652fQfme0s2eR~Mv@&V)Vq zGonvEBmDz(l!2Oj{M5&1L~fekEMNzw1!zU9SLRrNT>E|e+|{p6-Mj@F^9(c;`O)Z{ zjzF%AV4heLNNEWVNt~bQAOKNPJ1sN2v76z zpv}~z76zn6Ni~;k3(4|zy0P{7MO|o7Fw!V;6^GzLr3J(3G(~vEQ%N-N24#+H2Mbqq zKt;%+6R?MYqEWXBNmYTj02!^pHiv~t7EXmmn7+j6Z>!h-tMZM#Ego0%5=OC&Uq@qdf#A9fdrZ5*pe*{J($&6IM%A|aWltyGL6~~Y&HIV z!t?odhD?g>VkL8yRmeJ)xTcngLgJtvRKm=qVWhA_B~n}YXt5UF2O7xPJc+W(Rey1e z`5c^*xK%ndAKoCr^#;>>Jb)eT#N+v~gqp)}E_^c!NtQ2>T*|U6K>pZ|+?!57!J7zn z8k_BHn=Oq@ru7m5`{1EaCeoM*3vdfMfKNkMA?)Xf)WJj-sj8ncBPTYBhS(Lcq!~D| zjGeJR=udBxS(MfSI_J=r6=%fGa|c>jf61GlMeazQk9_#v+RXP6Jb65sXtk05{Ke%7 zt>vp5Yx&B?+R794l3X5bhWm(oC#)n-@bok1D8waoo^J&&AjYwt@!pe-mAA#i*PT%96Z0kZr>>t~uUXL8Q0`6EljNEGEx;!4 zHCphF)zSI=(^@FO!7HCKKatBh;q0q$b>y)0zWfcvxpGltuAR$O@jZ(q4o5#Z`E)hH z-XV5oRO8)(f64+mQ{RHRTa0?=vxk0~`xaB2j?Rw(90_n`QR8RYTJ+Jcgc8C73Ax!j zUB`!zgN6n!sq{IDV;62x_@+FzxO_T>t?(B{s>mGBs~5{fVDUq)=wYUiI#AO6LVS+L z&Y>+J$@(rwK3p_4xae`6RrU;)c_RDwt|uUq7x|T!nzkckQ&` zavtlT=P{8Da`43!`k}npjIGT(9)&mvksL!m^j@|AU z`PCX;)9S&k!VpC32!n#|_By+DU#vOlz^GS@qq-~v35VOLcC-epwp7Y^P${@65+T%qT1N6HU6mRTlR>I|DIM2A#Fi0=Og& zewasxS^%+tp6+T^FdV#rON*>caHCE)K;oOG%C5PISfX)TG=d-Q`OxR?Wq||R@G)Sa z_>K<$uJDK6mR8`SwV8;03{MB^2z$)49CNZPZ)IaVt-702R}D-aVe|5``J&!bJ$!sp z8gAKNYD(&XG{&kizQZ(D%{T?iDjQpC@c_qeYfH^o!1YZ_sl|}0p3$7wH`Uf+se;2Y z#*4@rmdoy-+5{#fY;4;oe~k{|fH(GP~;mqq3lda=u)NQ zV1I)eKs78G4&$x7-x|cqWN`;C7mJeJY%0D=c2PfrNGzG=xp1e0hJ_Y|5cTd0N!-z> zZFKn*`);;T1^B4}TY~D@ISI8>LYKf;xpIlqxS5z6})Th7j3@yk$yubp!TX!L>uXByEcEdqr_p{)^ zbfbak@JpU};^QYL?|ILAh*wu1`Ww>;xyQz*S2(Lu>*}MARl^7M(*mVl@{*MmSb#hd zEA?R65WFIMEsFOpa4dp#b?=g%G8?O@@4O2q(5%zbcd0j^>0Z#QT+x;=Z~>`0ku!YZ z?0w`fhE7l2B@$dVsY`}k)!qbY7uY;3Hn*T|A`RlAnJymjbO>VdNx8zE)8Ij6-) z`575wF;=iZt=8Aq8x2_Sno@7ox1R;**S_@ey4lTY%(?y!KGy?=X%f*Q*a?3plmNF`6&qJ6rAu)#Cl=MdP-=Y;Qr#!yb!89ODRtf zKf?ek?tI5C<<5^&r&0rdT&HWPp;iXN0u*&wZn;Vrg>xzeE&|mxJzn|!5M1_~Qmr<*6O;^d7*(Fm}5Ix4cC1cjTOupk45uv zF-jKV0>W7n4Q@Qp{#&)3jG01)@wjqB4VlLVuRw^BRddD?fd$~$o;*h>VOlCnF`yR& z1DRb3WvL@(4izr4E%O`fn8wFhk^NS;j~P&gP!5euqz_^G+`NcVxls67Q3pRa{?P(4VF zyhTzbe%+*$pwT#F4|+?D@u=n4V!T)A@OMd!pEEq;WTfIX-oz_!@{pgn7knxaKRUel=&-Dpa$Uw4XMFYX^a)b z@d*3A+pR|07&dlOv4 z*7#s!Lotoi$p|&m=r+f&XpAk#s+XcW#DSltS*p@F&BmaE4+npX3PJ|p1D-Dd_0xPb z^-_aYDvG3-qF#jQvohmE_;NRD+>ZhuRS>D&C~A!RJB*+4iaJJ76GVeZ^eEBVq=a!2 z&`{xvhIXBAP)|4jQ8Z{!bqFJO08@s?{Y2h5=jB85+)rXZzC}$tl}WRS6j0VA;MCN7g;n{oVxXq?tSK4{7sWYySo95>tJ)LJ`MY#jh?0zbt|_nYGe zTZ!;f(XA1)l(5qh8gH~j!$JyM$WUiKpATvn=!x*SevB8ln;o4SSb)}{%t-A9zQP@^ z{x}+&u@OEZD3hyAxLyO^A~Yj0!hJ8{C&(2yy`9+*Vm*GGUcs~CqG`JLA znC`oNWLds{_k;WKmD*2YKmPgOm3~4qUU}UcQ#=)(|Hz38f4q$UwjWh$KZ*VLOmv7t zYcyBm{8(*J8Z-xN#$mSND7wOBpCFK?#V6VdpLpgu^-D4(sEG$aE1VfK`sM>|S_DmD zFb{QbfXc(MPG?WdClC=`T5`;4d9*?K9I>knCe+eHa z;yqAWp+PW?CSHY+%t~^~XUf*&)r&KMfP<{i-uD!uY8n;t9B(7ho*J+Z_Ke{Q z52kS#_8u#=I_NqY<7$l&hgPa531Ts$mMYo^p_)rask#TxcQuy6)0r(EgH4bva_#Fp zs+OeRw+e|em3rGKIezOLWE(Q=aH-AHfN!jT8TB-8!V5$eNGA!x?TD)boY2Zsl#UM< zCF2UGUPJ|-3aWtv_%ZZxQvKcl^-%!&C1%zdVOmcB<8h9(p zXgJ2JiE_-H@*_-J&(jq}k?E@8PShS_)<0BgD>o)GJ3Tau9APs!N)x6n&?x0*H?;$` zwcXs2YCyZhrtGfTO18%kxA@~YE=XTwuWO>Ww_e9UsPHjO+K@^M`+U=FC-f=1iWi zuV1{#gYLR|E-W~{yd6~Y#r4VhjT_(n-F#~Ii6_4GTQ5#l*Vi`Q@eYPbKd7FYm%F&G z)>l@(`@2_HFJ4?(fdz^doIkH73?Hin1}9|zo~Pn60UjLE*t#LO7QXqs&50=kkw9O^ z8r$9;p3q)DxB82}h{o^XvF0mYu|9eH@en>zj29Y~`d|UR{G?Fpv_KxT7A7rFS7+BD z%b2(1Gp2~XI$6c49-bRtWlLP!2#m=&d6ay12|WnhG>)fYIt$S3R@o9u3-IVUR`Kg! z#hQwG#6eAcmX4+aHxV2h9Xy_1@TDCWO!0^;YFcoeRfZ}pp(LUY6i`pUAd82*>K%qU zm%L@Mz4RQ*r}Z3j*dDX~{nbx5NQsfbMfId}qgzmU902B5aT#%%-^w^EsRBOf;)4~s z2FZBxO(CbNqS0&NJe4*j7W2|%h`Fgz1h%EQ1ywzriR2>3ZrKQ2v7-*?Wfcg9_c&nOHyNq`b?v~)eRPZ3x0$4k(*pdS zdmLyy-b72l!m|eyf6RiKr~@TrBwBVj4FQef8WbI^31w{=rSC zxWy=9!EtuB!akg82}a!GaP}K6?#Hj#lvMmj=q;{1N2v2~s_Z`E)iSF3L$oT*1Y!+$ zYN6SHZw9jrQmX2ML>4^9iWkj!$~pA0CSOrLuM8^e+uq|VfxJ|03U9CQJ=dNvWmdNX6aG=6@6=F!#;{-^u+#ha;$6&c~ns zIr-8V@e$t~C zIrnZpVtjniKR(svD&tHVQ`K`Mt&xd5FKulmcZ&#%Zf`D z<=pjdKG)Tl)&=-KNn7eI$gxpG;lpafIytN-dfre}u}!CmG_Gpy>zzSLHl)DY8qsjyd3d zV5G`td@5->rfuRESr?#z+W$OdU$mfZLrTWIxvYzQ%9P|g#`)tbw@awb>-i;H6a)r! zI--OYv7Wf4!x<6t0?AthE%EUHCVm0L&*U95kZ&IQVz(}%EnC$@TAuit&yXm&HHnI} z@&14-tOZ`{v*qeO#B*&SYh}gcZ3|_|Uy35_Kv((V11c`tjaR1vCU+t9$Z2WE4_N6K z+VRFXc~k(J`ED*~4GT8g0@gK?~t9^XCA{1bdLH0Up^1r=$&kQqN4@f`(x*B_xL z?mmtv@G_#oWsL6*%+gIT{Hxlfq{RT(+Se}OUGO7F_B*?(OB&S zhB$|^co^eN-|p3LQ=+&r#CN}q8hG{`o2a!s9SvJLtqAWV=R+RYR?Qd6@ok5AA0vJq zSWd_RAo7$t>I`>AThw6j1MG~I#Z#W!^jE=JHNsb{RU2~LG~P`cs38Px+u|*cpa80_ z5a`gDp@f_r1;cx&b2Dgw3MAgc%TQdDim=5Su;ZLt=55dBU|766x|P};i+7-O#xBQ0 z^c8~ZDdP3clKVw?MV#7F<4z1wK5Nc5@4kD$Q<h7Iyof)1*FXiQnG+tH3< z5LskbWM>_!0elAqyo6+gm)jTBlPYz6?xT(F8`^z!nQsb*6y)xJgSR9ICZP(0BG8AV z4*-|+ATS8u@dn|ZGiMaP<#j6k^*HbY{^E_#;d{}ay@v;Dp$hn17b`-%Gdqce@DXGKeO^V&p0p& z792Rju?Xf*uB}{DFTKb7S=RjICr{%2;&r(Aj!O@%U)p$x_@2qvm;2f;>c6VasE;W1 z>L2=BM&f+sliyH}yH5xj?opq>t0wZsrF$-)p#{dwdCq-xeM4PbeFq+&*tqAOumAc& z>qT`DepvMTzx)gG-nsvf|5#~XA-2ThG@viCYTwJVExv32o_P^AlzXP&Rcr-Kb!Qt_ z+f1ET_vXIqt@&61`yjp`p5F~WzA-l4hDCxJyl6mp2}AH!FrVfrgC|cy25)_TW|Dwd zVU!{@AJ5_vVWUPyfZxV;ACh;R)zt~oKd=Q736||^LUfnYj}g`!z>`7;csdA{R_b?s z9f2!ZChC4q{nQ+_hapuo;4Kh%oTpmeK~dcTp#}@|En5H$CM2`~Yh1V$&iOOY#A`G) zs0}(wIajCmgdda3p6w zyEezfG+bR@e_98#y8bi$68D)-iAy+mQ%JT~^2CstaVV>_}0{0NpX>*px zh^=Wyk7`K62fKhd8*~!6Z!k~@Uk-`BZCd8Xl>ml}ps8-3u0RGi!!K?lo1BPNGHyho z>QIYl$^zB8**n4-zo5eBSwyQEHF5<}pS+Rel1e>6LDCcpEW`kO4>qOUO&cKz)%oO< zRhqmbwjr;rs0kMXYd`+7BHpA+PLGAh0&BUF3DYEzQm9%>ZqoCG>dAGKXw{XJHQnZJ z(tt5|`r1IO35$C%Jfo)S!Uz-PDj5t^79nf+U{+RB>}n3u5$^(_#v2Ol0AXd6CaOTs zFpG&HY1d{M=Ax*+NNsjwd{_uXO^$;Y>SDaVTy=(OXlRbXyQar%7=xaOz#g^kWf);4 zOj=M?v_x{Cw^>L~Y6kH7&dzwaImD~XyJNgMP-SCg2H~iMuR6C{_+C-o?j-okcO#Dy zqg87+$8^nb&N3RQJ`N_%ddJ*Od~OzxX(-BPi1Cj*cg`e@{ExF(wL3$+oNvgP7-#S3 zIxUoV+o1d`Ueh+Rh&a%gI^y+hn5{F&Sv;7^G9->7*?|QD-vx$(C^jFEGV9j3KFV49 zpqJnk;Ui|Jo8qOWakmrWWNj1GFay@V+%B-%c2(2b%<4v__@KH)DTpcf*1JlW8YcKo zwk0+)E)NeD*vgL{SRv;L&e~(N?(w$!zWp|+um_z$Ljrddc{Yd!_)Z(X?gn2z>UMS_ z%>H3PtVWFll?Ldrbs2myu7mnbFt3VDJ|d$Eoa0erD}&}Zk8)%=GL13bVA&j^wkaE< z9hd|+GuwF+uP?-0wUf8DF%vep;ebwwmXI^yJcEg-Y=UbHG=fQT!i*Oo#+?!06ssvP z134n$Bh@+dD$_?R0K#YL7#&~qiSa@|my zy_{bH1J*dB$*lYgzfF4m4y8^El)8=>C^3iOC7tg>7ffCO*9#v#my0ih)#+eS1K7}T z{sg@0-JQ$#?5UNtPUoI`7$&UWc>If}CSP#l^m8Xq@k`CgTe~lpZ+WesI;9jo>os}c z0i|B?^2;A&jKB0>eCU0|=O6y+A0U48pZvu4Pd+~RIQ{eCO}_xCN6DiFH`W^YyWf2o zhI!b#^7N@wU-$w;Cm&4v{93hGK!R8R`r{|@Mz_v){pMdM@n3!D($5h;_Sx_Ir^Mg? zw}0?{g|{ZqKObnp{g0kZPd)yqx^wcdIyGtD`LxJS@ZN>Vy_4oc8xO2D&t7>9C2;PV zTD$Qu>Vk8tRXm;kG91sSx8q#^`X9dOFK8t3y?^k}e}gGq{J?K~FK3!x`0UT#b=NcZ z-%r{!Y~*;({TjXl)>rCOA5%4$pkTt;EE7>$aPPg%=7a0!)Sa2SGwq*zP+h}UmmZ#= zIS{-B>$Kp1y!^U~$`{0w?#6SM2cmA)dcz*)@(~xgq&UqTG?m=)) z;PevzZ%X~Bit>g`;$EGjM_|Ugk+;GB4)7z)tv{(wC1MU4?UJp^KC_E9ymg<9&@2*Y19A&Rz3Y@P@t#=EHbvCEhr3MV&p1 zH^u?heg({X@4c6p;mX|ugoH%$%|hm8t(-493pi_>wg744W0Y&Zvi2)XMr+)C_3(;U zJcj;oE5N)nKG5oo8z_Lu#>Uf6UqRDdTg!8G)$=Bkm%Z#|U-*LWl~V~`1x%3+5o|PG z{9=luZHoo#>$4U-_E-MOos*L%Po6q;YHpEFu0C-K7F<;ym%1L{?5PrsS4-;{E^OjK z&^U%3Yxu||jR!%yqY-@M@@Ir&N(qE{HV<5CQndrYV}o=?v!0vN$Q{jV6riRWVNq9W8Q^$6Gl#eU$U}9`aa0Y^wLDOxZHCYc&SCuyGV0oq zYe^%KO1!xeuo!a9#0>=UBBgUqS7dA`BWMjj$HbUWliu&-nCay(cYr}}&VeuaELbCc zgmdZb@Gw*Ox$kMwG|>n?Wmv(1E1D%tngAB^eBtCitdjwZ$W(+6-3~EcADN^*Y-*0q z9W;YSp>_+ZBWmTpO6}S~Avxt?J18LQ<*owgx)p9Q4o-rS=w*$5Axn?TQ(3@9S_9nx z%yR(VIdLUZO`h@#ZSj6vqMFz$}L8 zW8B5Sm&C~50^fjd9bdD{)+MOHY!(_?jd>ct4;sLSdQOz_oiEVSX4)9Iva)X@v&rtaffX*!}k(E zL84C$rqrOAg5%@n)@VG%H>f8ZgmK?&vh5dP5)H!F)O(ul@M9{-v<}zT>qY|7gAxrltC|B6fZuI0yYe<1D3`nd57mhBd-m3*c*qm3Bq-xb#o1J*$M{Z%k1U8^QFfq#+-H(pIz5EB zC`8O_PA?fGNwd{V@(il;SVt<+39DMG1Xj89L|D7Hytm8`W*dYB=kD{_+zkwh=K2S4 zVz6}6l<1P%(qvIqI)l7vcSq4+7bBJ-j!0`e8uVBNDncDar6_y@1;Yp^_NbZB*P?{@ zyh|dQ?-&b_N?8VCIxL7fFrvm!X%w!j;T_!UwIF3mXBpUG>O`(`)duQZsLW_=DIgK4 zWmwYSV;9O*qJ?NNP7yig7DhGxlu^pFbfKqD;X0h0m)6r6mHnLtZ=@th;Z-tIr&HD2LSc8ujb7YT11!Vw<4N2VWfKbl7DUTxoY+T=erw0wx)C}# z{1NCnf1s$CKF|$*sG<=h<^pEW7E_XKj5J&A?$~01&~|ZZ!ub%0QBq}6ek>iuf$f_p z4Pa5#M%D(BHm@s$&_h#{iX?9_F6N01#X6)r7j$I6&rw<^`}PoRQp3aAVEGc_h?8}E zY)s*f29^L_ZzHFJlA(U=S7i|Cx)_XUIMW_Pc`lrV4l5j|EF$-b#xZ;_CxYP#I8clb zf)O74fam}t>X3-*@?qBQZci~r;G^*SIZP1ySQT>tJzS%|cTxMiHMfmG&TE&8)jft! zKm`2rK!EE?o$;8cfa`^C$^#7oz!GtaJvYeJE$js>wh#W`qyOP&o#4+u^?!T?eZTa? z>6rMn|McJfzr@XdJ3=2;>M#EG>;F&UKlsW2^luY??d#t1i^PYAx&@#5hWr1C)A1Mo z&b_}z-=AJ<{0{NI`S!Q|OX5*}_n#Ag;K|c_#Bc2V>_y_&{8v99>yz|9zmT>Jx^GX) z?Ld}X>JLntTbJyF6Kk)DV=pDpscYoat;=lQOU-Jp#^s%2a z#Gm}tACM(I`VR$Sfm@qmyx)2B|MBhMeNTOt>;!%I$1h2#f7dtu-T#Xc&9%RGo_KKg zzk7uE597aqy~Wtz`MUr1W5fk;N3H8%1xY-hbCr;LbAMIgiyTV7S{WB^BCIZ~2_Lc- z)^Ju3jPoVqB21{SKZ4-t4rdf0a8)$FdSmWN7Wr5BnJRp*OZMnuF}B$}$Cb>YPbhVx zd84Vn_MJaGnKU0*nQSc7L~ZjxcD^>SD|#VREWpD1tOYC06|vyy3@V;f`9eiY82m2X zLN?yQe)VdeudJ-A46CFoE6t|bll5F5<-z8I%~Ll{-Po9{Zaj{~(}kLrFtF`-aoNEF zOqP~daH@%4ekyOM)kgn{jN+H1HHQ^z5P9H!B}T`_!p}b1@8BSrVfXa2LFsH8Zh`kj<_FFlby5^Qd})i*hOIC7@Z-6nLgP9PBKxV+B*6Gm)kNCEppV%#OYFF z$!9GOu)B`*9>#rAkJtyvFhf#+m_qU?Tx|2yMq~L;6v%E(@KgMtFYn<_u)znS8CIR1 z%td-sgLa~^HcLqt2B~EnKQf?kkYPZ?;;5!&u#-tUFb;8mBvxBxbM0t8I}Aw1FwDR? zlHMFnhJzGBn?`qJPJsMopk&BT27cCx(oDsz7KT?$!FpE%7uLcb05;%+8%LN;a)=Dz zG?JjjEgY1QOu_OV^AfQw)0QnSP$>&g$l)=-A-R+g0sTE@zj-5ZHE z&}UemO-Y3Jm<;&RgJsg)LrFRd*?v<z|RmeE^*%I$+^2OK|~ffZEwRy zmv`wGFxAOwjJ@VM2aW-NOuSK^)_*o`Da15P(uO8alcRou1s9Mv5q8yl|pb4 z@y#RypJ5)5l($=x+1G;TMOrSt1WHF2)nC%4eUT)93dlz~=^Ip$Z!A#;pDy%9SSOF9 z-nKDokMX=8_BSW86PHv@4CuWv1Sa5aq}mR+M0X=DyI&`WFqNRx2Y%_8P2wxVlO8_P;@I!xDOVS z#U03_$aNwe8EGaW%c#IP#(=ok=JNv2z)p;Lq)q$8S>iyw}G zm$zsVqlPh_PR%neTs6SQ7#4h1G$G8+ksH;?3;^^+PoFl>FdB zrHN45;(bbNa7_>u(2aX4K`W8~94j8Uu|?~$s*fpiB2U_)5fdOmnQ(|tGsGLx8QK{A z1j@Oe3%h!Ui(Tv}ENR-pLBEMikdfL(!LXaP;ucD_)j$=F*i}FwfLH5`thWrUK*c%d z^ek<5#&Q-<8aprGx;#N!dG3|OIdEO6v#20Hi;C?)PDbQB`P#EG;{N%!e&=Tx z&K^?}%y;%aeedpv82-QC{NKoI`xW>7YX;SSa`~^nPdpW8MHLAObz>vX1N&{AkAFA) zZ+`Q;o+6%1{>{11Kh=T-Prdkm|1DC~Q@@@QJ^RDo`*(=1T*)58_s91B@_pxin&BV( zpT9|3+>Y*p&sdp~^R@rNIN zcrtnS`qitP>D>6bKYFKHv-lkOThCJB%}-yFj7%mU`p~)cbLY1Im-X)<{a=0H2i{8j z@sIrCUn9QjbzkvQ!~w3Vm%IeKcWV~B``y^F>fxIDXWv5az4zX@0RujceVy3YNy;1E zGC6-WX`n3DB>d;!oIgtXH-9rWHsf<=AOGOL_ydMt{JOvJ`@}E$cG+^OUi|v|{{_RC z8?QE3&|NN^-H;u%VP`GzPveXkAk3A4^KSwD!rC{eZxUwUxH&OMYc9?O^%YJd!lK91 z1HZs99RGEEDXevu%a!@q1e-%&COHZQR5A9nPAukepZ}3x{;wTE;@rU2V$39yANlCt`(-Dyp9O4}i?js`gn#wQ zr-@fFlf~!iuswY7tbYCE`pMsZ<1;_BSa0CqBj&4kpmt#yRV~Q#Pkl-(z@A}Ppzgf$ zzWainX?#kZQg8h2A9`k*J{y*#_kSvwr&pEFMz2w{^yeBWoYbd?40b#%_xLD~QN5d0 zV`d}PNbb_j%g-z{3lirgoH6j0@UWnIk8xN7QOms2auReoCNF_Q2?8Ij!U#+*W4w^L zAAOt=6PhN)#IuQ0NY6`g4b!DaX@b*5f2f2ypEA5W!qrYTh&r3y#>T3Jt&xiZ*C?ft zH{)$1-2vnJJh!;M=?w->gUB+RB11aVufYYIkQqTZQ)c4HeGZvU#Df#~WzZuNCBXvo zFp@G3A*4|>e3vFUq1-e~(xqtOvxVY262`eb`3K+~7u$Js@&GK`4}qYF+b zO~$H29)gDY+~G*wN+jA4>a^+Dbyp)O6+mm^f=Ag@LM5$WF7Wg!^Z|yb9C&Io#51=Z z=ga z`ZLG$>@#eeQYRhydae*fjFXFlm5kTxyI_u3u!NdIg77+!x9sScKa*hQ3~Ht0B8LVP z4+B&T&s%wDI)O2b^)z87Ja(N1`g3j&Y-5tgr23phVi^?lKMndgFz`U5%ec)sO_^~j5qTLZGn4w*~^X_&;kZ2S~f5?Qd^oc-n6{Y(nI|` z2Y~(9W}GFPITGI9Zg49vnq!0ec`eEyJ$@6SO|@yZqH&t#?N$qqGvo$W#t6G@6OI+4 zkcG8INDktVIFBccO=#aXV2^9xhog3l>UaPteNA%p|)HWhhXkpY*FwHM%} zSVqhzAkmhn5}%5^wbDrX8dCzhASSe+|`4N9s<}Yi@U9Zo*@_OY)+E7!HNX9JRAg!QrOy53bv2HFgP?0=ir)1zX$qV zIQEpxxn6L;P<*;uG!UFL9!tAP91ntT1wqhUIW&_*VK z7r>P=Kn|bAD^BPK1dKf4#GrA+m@#s_3KPDLJOkI#$PwAdDe}=)x{m3NP)R$09LL4uJyW{Bi=5Y+wEWDevymL9>IIXf*a<*PU_jY4(chj0n4pvhHH$?(1md_F5V(6mqhOWV5|Ma@nK zTm>Xg3!+GFVMOB`^R!W4S4AXwHfdJec-Tko@&24RigvRhHmk;c=9^{F!kQ1y7rcEx zz`+@@RyKRjnn8aE{WxYy!6wD@%!}5o>*w_(b z?~E1_rE@yzTSD_?noN6xHPjUL`cgA(nsL-%N-!9#>GC0QaCE-sF&*gS2<14tkdWC57E z3t%B>wTbg+q$5;C3&n-W7%s1B03i)b7|H=klX!0VQ~$=AyOyiR$~jXL|hlCq0NA;02>;%P^>03X~!nunO?8k+>Q|ywO~^mAR<1) zrh!SO!kdUwORD3Ehudt2Drs!CHrvhAt+6#dM-y9VgwAIXO50RrFmKAbO_(uGx~LEA z-d1=$4cbMY;~NJZ+maZzYkRhn014f>PJ}K_!y}m9h;oKdqO9|be%AtWlvj*^?~Ih_ zV>>dQ{zxP2GR78@21+VMJYsY|)OXPt;m1;NKJGMHW0gg^rL_j7)){#(U=zxiB38uC zd#p~lFkJUu9MT0+_1f#|<(M%L>&v8F=h~Y9d=tx5iH~i+Qo~7 zmz&W9E}kF#R-m3rJ0CtjQWqapFP(hm(p`Iw{;ofIDOQ{CCYH~>^PR*m{pz8)fv#8Y;noh z>}sh>`h!(E`ykm6m<^c*F*3LWVHmI=4YN4l**-uR!7#mm1?cu9o=D#sV1v|-tkrPK zpKnBF{pcSvv#S$zvi4PFM#PI3FJAn}$aoQv5&!u^Rt0uM-!gOW;JN4Cx4fcyumvo# znF;Zm@4Wuxa%leX_3QoqE;|u_*4+$wZp^R#>dcAa^tACZ-~L%o`) z#XG}F*gyG3+==uzZ?A-RR(d{cE9? z^?I!AZs9%eSsv~Io%^gA{Fi%shrMgNSO01H_Ot$H-RC8^OKYG>#LFePZT6PG9w%7O zvcvtJ_uMwW{_99^?>mz;A0unHD15?+y$}KD*?vQ*t~mVtZ`IH7qE&! zFvhK)!16Ql;0oO6QsG)biOsECvZOIke9>(AtQ{^`3sG)?+j4C=LaMrV2FWHG8P^MZNI@3Nw3=2u(H|fUFAzUr%dMlHlgtQqxR%N8a>xdgN9~ayaB~=yS zXNZD0x?ccFoi%+guUdiEU}bGZkhM#i>}McmRfujQgp2(A7S}Fh6uyp5Z&KHGV6wjA zQHc#&nH%g8E=ofebw{&h`uLcs+a_qjee0I6`D{tHC*`6s<*^^9#zumW^3-LxVwbTE zm&8vpHU4!Th$jl92vLS>V_0p5DsN{Yf6Mbh*tIdWCS%wo?b|d{d?B5oq0vC#c%$}I z3Lg_=X3XWG76}7N3Q#C6iREe{Cm~+-mBoij;N*hm(;1i1|A=L)s@flyrf3R6m8}qj zrzQtJ!onLzz{naLLPVh^!8&n_UMXB#q{v^uZK6B7 z6y#u!cNFFmG4VBbXF)C~9m#kG80qr)&@qaM2QjmB)c10id9s*m6EZnMg&M8PVUvK= z`{1@pY3iq?XQv%F7k&~w@I9XgXw>99jJ10#71N>+C&_eT(xj?tP%Ds9CJxAkY|akJioWemtO6RNii{47j|a=E!=}T&%1cg4QTG6P zta@xE8ywS!Ti+#E3qF|M_^~Pd37L5W7k}(~#MMXovp{=P@b*y2g$IR?+Y9N!o)X!J zpI~4jxXyCc^a0V`=X}yV@kbgaB5;q-3VMa~?6Z(G+eMSqqDV}}TX&E;IRbPKW9L3^ z(gugeko@PDu{n7<>5c1vrRAlZs9$it0MOonPUqe@YX)X3*0YAYu8IV z33~qp6c0u1vlh1b>VvO#ME}0Hi?%<0?VGax)!X}jL*{4eJ!8MinE&>RH~;t7AJ$_R z@d|dkfeZ7@jc0DW*ne@=^!(R{zw%*`{n7H(KU$G*TMoYPvJmgCe(#PRX2UA{N%=pJo83(5D4 zzvp|jW;l%1T-AH$Jp9z&;TCfD_HM;G_^@Jj%LB(7lR%+IAJj`XO2E40vkZg#rI+sQ zuxIR3o#*b7FK?Umdi4&!X+HkwQv&E~Uai#Syq8TTEBm!;NbuhEzPY;w2_7^LRsE%&50 z9>ePa&TQ9B0Am9SEC^M|5Qy^%Y_#=5)Opz6rXR3p~i} zfyEts2&MuhU&Gyz?@d25bs5spZ|@=ig19M?(Iw0bv#5h%BmB%VWkJ8*8!IPZL4rwY zGtlDOLr*2|>@tG|)TwGYq)Y;j zvHRdb5w)2Zo!n!cAovQDC+Hk&hUAlzETV}$V~U?B^P&bb&IW~3U$to9R@388UDMUC zkUy3b&IsL{;Pf`|5ijV&1%HG7vw_h9J zqTf_8cU7iZ{u9CCm^L#Wn<|Gk@K&I(ZkLu|JdOn$XJeA+3MmZXH3dtaHmxHV8@D;> z67ghOOjyNmFuY=%IN1;mJ8`0H`5pl`ywoFh6ucgC#sDS=j0lzf++Ng+f86#%d05<`{7s!fHhT)0=DcW+35p2~CZ zf?3Ugzx)Kga<@ddOId$AxTAJYRSoo&@X%imelLf6@R7#~UJkY^tFviv297HN#rT^~ z{)fMF|H{)(f9##hKSXk&pbNPp*hIEdPA}qtAx#;I>Wy`OI?Xxk#i5t);pD zcmD4Gxe-Y9Ce|+b?mv6F<%i?RCx54v0KK@C;O5OwUMRu-JD(-ZF`hM_f4RKhYTVK1 z)_?y8H`l(<-(TKexi64l<@aVRZ)A_Dhw^`R=h=JD23TwFX9FzFkN)UzNZydpZJp`$ zfB4CN9H{Zp%;M|+MKRk9eQK8P?A-UwePz@+kOVtBI}h$IFPGr&{m$RIM1rsV!=F%v zip7KR$G`huSvfmEf-t%x_Z4&HoCKd@uKkOeDZhoTuc0>WTJTNp>U#p96( zZ+}2D-tGTw#upb3Z3!e{}Pp`%i+I_BAk+7%O1H>0`u%b(= z<55QsC17dx{{H8}D2Q;&4=s28`KuazQReE_0kghm>T{szyx+9>;ScZZ?CpK-b1McP zGDtw={^Q2I{;iPU;BfD7?_j-G)RGTmKl|CwfBx|B@JoEXj{e`l`4peWbhK~8j1sIC z0a4+1_r3qbCy?MBU%g0z+Src&pH7074Q=5BhH^!WB8WC;^b%u(6QsRgB9 z(+CA*ZHNZ@aido;Jn45>*5IX7ZqX>7_=~Ppb17$dif!}=ci-BhnHe7>iAbk+Rf0V! zTdHUj70)4H-IJVR{~nzOjt~5FNJ^Bbk5;cal(O#j02C($VQu z*nq@eiPfGhqUu+kWLa=QOFPO=vRWY{2MAhVO{7yq4xU^^BY_EC_cJZJcC~!v?xk+5 zZb1+N1)7G88UQ=`CcY8gc3CEez;PwAC@axqQhZIXh9S1zV>b;eTBX%N^r*3tTWAb#e6BD(O0vmM3BQ8`S8Q-xwkB^ z2)70a7B8<_kVIv<51$C4*43Z}+kuTpsTx7HhOa4O+Qzt@L@4HrThgCL%mq&N5at^Z zrGpANV5}=X35XYKQq>>e%jdQ_dB`S02R~T?un}_QRTMEL9^IfHJXUx3QVBw`AObR_ z1aJ(mP;G131uk5mHlSSD2}QVYU*V!9({i4fqo65tv}VrW{pG46z@x{zzL67wq0i+t4hS(?t%*^!@i{`yow zrKmb6ux#yH1%+(Vrw199n)YYX1cHh}Xsq(fsVGo(ZPTy_ zpA5>mjCG>t+JYo^HKlyShj4j5%^SW9V&kpxSrJxY^g^ICaXVyB2sCDa040ulmXC6x zmI&#zaadFp@NLz2Dh0T+z-v7zc}h)g?buR;9D!T9bv+;&y;oMb=R>JbILck3QY}=GW za30#MsQ;tF6J3;d=#^E9-gBubmcZvAPxB16j-ipX;dPg%Df_molv{`~Cb9ODrL9k+ z7A&1l3PH8{<*4$Q-##F`Vi(PPto?Ux>`lt8y4h}Ro{qXteZndcm zfguNe>n<8aSU=0gH{l#m^V&QAv;Xw#fy62I`Kv3Hu1C<1Zy@klWjRx=>^$Ouy z8__eTA0?1#_2MBX-2N!L`@Z(_|MXW@dSa*m7sW0vtpw-;hs$r?{GJTK=F^8? zI(!EbeAl_CTLjdxrsLLWy~}$_xFq;dNl-@#Zj&9q{*~YQzdA0DBZ0rg)1qhYmYL?8 zb@rC|Ia&+h+tuiA{{gw{Tj*79%bVWs_r7h1^I&Ouf4{e@lVLI6zB8=A?EN*ueX8cB zCJdeW;EO@07Ge$HhyA~4KK;#C|KHQ9S~A;wc(|<}idJb{!=`J0bCc&4$@kjz4E73n ziG?-T&o}rzfB2NCzFvJD0lwqYzqYBNs$F~iQVBl);t#G$WgW%l9Qqo2nQeE!!Ry|= zm+m&~6?ah{ba|rZ!Ja!`tc8tb4zds%S+p3n`8 zRh=Ax0`e6sjP2XhB)+#o$>O+HZw?`(qKFq@b$cYZt{JqvR})iA==W;UMd4M?7F+=m z&myxKKB1ArM&gs6&9}RtmdKmfABn+A1mbzT7_yiGv7|%p5#J6nMkR(eNIwVb_{BFQ zomt;E8lH~ska3yw%)fQqEzJr{NJ)zcCb4uJVkds(HUq1<2p&7kHdJu_CqhRJymwm@!dz=ltz z%8z@{_d`3h3oF$}eIG0ORUVscL3HZQDVuA&(2!B}qBx0YNof^K6a2CIYL(6MkWTG_ zsa|pfJL2H`D6y$+KD3FY-x!|`uOJ<;z|S_d^FyD4@4z8u$g@@Y=n({jD2PU%9;`v} zc5#=WdoCOei{yx)v<%p+H-4XzHHNBJ2pg^5(S!-}KEeTdRsZ$#wAbUVkeT9!cNeGnXs%BY9io!F|h=oMxt6@N-<551; zQ&S(PROi!L>`hF=$(4T%V`t?N^8u7p^522KM{^v3#z2&oGp1+hv%N1IK) zjuTghK#ItQr$x?yqnF+NB8fT5dTSZBbDT6D5u`wbe5*3oVhE0KDn)WJ zz|DKlci)wAayT1JPr_ml-UuX7BMw>n6uMc%qnlFTsmfaKsH`+@Y?DP-?GnN;Hj7bo zv1Jo&?ADjhC6FhxT2B{aEbwJ^DzUSZg@{RX(x5E&X119T6>V^13~$0o(j><61E~9{ zOBy5!8Bk*~+-VX_;dCWa##t8FFN9j1g)B`S3%Pw^Ms}VLhSOrf;%=S%X31hQG*dC@ zlcYIPP{`781&kDgJq4^MrXe-N_INf&q&ZX+OZbxFIVuLD1<%&yKq(ln*o>K1qsi3s zGSRRJz_?1I6@Ok1ph$;aOD??ig#xi3g6ai{CIqTG5@#bztg5O379%R6uUpr71BE~J zLN~gRllifor^iWj3EfmpR$ykvIIG;%dJ?|P(vk&+F8Y>E4R6AP)|E@yuE3!b#KbKU zJDxK1bQv^BJ#mxpurh;PitJ@H+2Vz0@^!g+S;)>b*IV7WHE#wwoizJGs~g|!%r+!} zUw*<=SHl&E&T;6p;CwK7z{GlU~p@KW%U`Y)zs@6#8=n2OKYZBRuJ}s9gaJ- zrRuRbJ}VN!ge~zKk#LSau4Ytl1QD*JUUwY*e2ZL-?FQej5;bTT*=s2+0@v zop@_~CtYlmW$V;Cwv{=WOeW(6OnsXb>X}HZh#8;_yJ9p%U(08}+WnZyjRX=+fI1V^ zI68Dkw^@P5Mut=P@MoB`0^z(HyA$hcd_v1=mF6Ra9pfu#h+lpkG`L~}x@R3as-E;O zP0w)~Gp*P8Rd!;G2T2dy#?*}2rf-HAmLsEVuN-AQ)27ENWuJr!n2<)RFqc51DIqv+ zdJ|HM{RQxferp1UXz~)2NTipZA82MO8#*3GqOI|&Czg8$VyARQSmgsjfg)mc9~;Xr zfP-E_h$hk@LsqD;l`=wg;c6Nk%f<6;jn8T%mbinVXOppYxvQSZRWt+-1P}qeIvBb& z%DENjU3m_?3Kl>LXKMD*D&d8k^~hTGjpHbubW(=h0c*r;AZ{OH#^4c>A0Yrz&_SG@ zZe9B9qYCy3cPcUI928iU7hEpG*Et-PhrrU%;h8|zST`QCFomhylK~UsEfaH_m5hF$mbK({}15Dl1+{Xt) zN*Wt(F?=%^2m>#AxMWG%$<+|@4K5^7lIwJqkzp| z1aay+8t*Al)Nb#sKf!C{_3~wCpqpn;DS4tRnFKGRE-rCMf~rL2WDk(jXYj54LSr$X z4^PBd*||YkIUN{I{?8{<>R?~xt!GhxL6xM$!)6UrAwszapZ?&OysAYKLE{Flb6jLD z7By)|xyO|hW3=ZyX~{esFAYQW2_FEig|iT&koqwWyow_7|q_;pmoc3!(h%0Tyi zI1n;MeTEXeB5KD29?oOs`^wk0d++k_%f&gJVimxA^{djv<81Nv>fYsY?;CgS>@Qi^ z#rZqy5Gz}@vTa$;(|W&HY>{j^zWPj`KntIw`L;W?9mn&UPRva+_Q@J= zZoU2K2KO3lY`E5lGq#*@K5+)rJ6(m%3;S(ae;!Qy51&6fg}uM8dLu1xUGkol1k2^u zPrr`Ov$G6e=pR0_k+p%Sli*J%tr*HdiuG7)?vipZV zbk}^2-+9n9u_XA9Lp^!EJUDpoQ}2E6nvRItn3o%xu>AMGQ}A^U=614~^4SbY2``Q?IexX!&_>Cme@4Fztr&YH3iX#M0u67p3=oOFWpJyf$rNp%+@w%J zhR|h3Hi=$(cE>@X>HmbH7Ke^+u;h-l^Hxb4yRgCn83qWTPcSY3dXsSSyi(2ry>Gy^ z==HpAL=;r54t!@}KmjTHHB*?4ki{(Y+$6bREHV1Bv!s`MS5X)EhtvkOPir7>h1w#~ z)vs)P66jS(b-;zxN4CKy*I)(`Q&LR`a*Q=~8TF@6#2Zko?QN9_0oT|IZCZb;PU<;8 z)M7l!gm<+$2_>6W+I&FLl}@v!1sf5#@SzOdXufi$R~h`VpW{dBDEq;T;2X(c z=}`<31^29B0Y_t!lV;Q3@O3X3aI8k=hCU-E8yrkhHoZVmZ&Ivckbi%ZLo%#M{-QL! z#c?^L`KcE@-#aRe@t(;|$Lwe4uUYZ3oHa~29!G!NXuyKV;V4iv&CxlEE&qDUKZ66& zmrAxx85tS%M*Ri-UylA^iLXPDVabYh`IlvlY0G*6-QtLFNWSo7{1!!-mUf%MZTq{k z9C#Nc2{DWlQ;_=!6P0N4iz8~^2?axDM}LemVIX1ATl9hiHS?WjA^$CklO!p&DUvP! zIZL^={dpiLN03JSJnvJDg+{JQ#9xN>+>8u<+up7I34sW zWiM_8G$|Qq`fGpAXY!l=#S#8Mpm4Gga+{(O#il5j>QF>G% zV%96k59XbJ>BPUcp!9=38fI$zaMW^eMBn$6@fHQK4_)9OfBw}u|I9hc(jfuOK~f(l zN3OJuLjuFgb6h2M<)7FjTO!TnsTA6RBd%a!0B<@r)nVNkE*rMXHt(ivAh@$8!edq~os@ zIA`HJMii?)(pmB?w+}8)Mr?xHbOgI}k_c_ry>-QK)7I4)uCtu!3a!u^mY7NOsIY_{ zOSs4MMt4k!?q~+9kbJF&t7n;9upqhOaB!RM8r&vCRx3=|+FUeabSvLZk`2VldXCiB zw(;Y3EmZI=LK0TbM66PgfyAh|21TgRYov|V?#_XGopoh)B|4~$^$umJ#!H1?#lrS5 z_Bg|RGx%oO*LF>(#O&0LJ}TA(xwpd&0>6Q=Aw}xnXQDVF4kES|bEb<9t5T(2GNZ02 zQus)+Gr;2I*gIZJ5h*DCT*oz~1ad;Pfd^SHIK{d})$(L@s?R{!fh{d70Zb3HH-gMq zG$7u;1hGO~1`=3cb!!Ex>BqMCY|PKLkw&2cnKyLK6&bo}LRK^=y2evBY=j3jb9`i^ zG6)FIN~{M(#DsflOx-ypXoNEqF*L19XuOcC^L+5!QwR{{VA#;S@fXw3{)yS8S6UH|@2T)r= zg>O@lJ7gYajI5O(=XvM`U`p|{JvVSi`Pg}SHsasXcV-t{?2|ft_mDP$YSL?(8nTWZ z1gf5I2pVI?q$_DyvkR+y!3?8fZenseUgctDr%8R1GUZZhTW4=nAz3l2VP2ySps`#d zl~J%@48*%EBfg0p%@-_J&jvWO>H=TqhecR{raaqWlnEi(fZu`H#vyu zO+)+DahT(Rv+`dB5Uy?xR?MIL%2&P;OF=U~2M3bi=Ka|)uFw5b2tZ8XJ76LeNP++t zNbuy7Y+ttjj+<8xzL?}!`qhlRsDDx2ME0EedDG25p5068(OKhu%wK8#?O#mY@B1s} zJ(!hGj18tS_UBiRB(HF-cdlhE$3Dw;v>=K{P%CxTk`+_u!}kAN3$8(=^OncHe9)VBZ1jm@KhO7(9Z~vE>INwM%G8P?xG7_P?;-Wp)Bno zL747DcZqmEUim-LvdRSY%mJ@e$LjH30#xBv3fof9L8Zd1_?!vX)^XpVtImQ#K;Z>E zVKL*ZVpnLD2E-VIC|%*ac&)=D#S zIiMZjnRWyo3s+)8J+Pf%V9!7k++$l>!ltNAcF<(x0dt4kvYEVBT%#sSL~9J*ny+p_ zVTzPVD>ag}H5QGRPwixeFspE@oWnyf(QO=aQC2eVTuT?w;TAG`?cB5_!Qpf#vY0C& zCj$O4Z<;BO5Ymse+zi=ywz#C3S<*=o5@z1A`E1$~hN;rY!m-boSxgu6%pJ`*Iao(v+jJQhE7$@0*Ztt$(ICmR*??ZXB>UUU7E6=J}F#N}h6W=g*TrcXAX=Mgeu;+qr zAfl(Z0Z!uueddPxSkbt8Te%SY16{nKWO{5ze!ypy3uRm?35I^^{Csg#;bdaaL4zLH z#sJY-U_fci1JDF~SDI*WvQnHb;JH~@qQ7iFYVxYV95g+&vIPoSN;L*E=qF=}pci<| z84J)>)lFBlgg6hGPKpRo5+`Ppa1ZV++G^9q(=#!H)H!V-EzP5LuXw>uHdB6dz z`aKLD?z;-PDS_cTJibMwm%q=awzBQ--@bkAPEa~l60kaPx$^)O{q+1g#T8pl!P(Sk z*Z8wGjX&JI+hLUl%iU+5i8}_}yLWF#^08CYGtWPD=g#uow`m3?l7I(MBP~aE#(Hc zM_6Bc(S@4fA4`jVu=DDxue?&NPQbcz=exiAsaIZk<(uDJzG^si_z{t9dHW`oGpBR4 zb@Orbc%82N)KhB-u3eJ^PdzmlAi=KlTNgoB&-V&8?HzIxw`uSE>9DM=TlNn9DE}f7)*bytCENKu+&uqw ze>nZ6zHhh~H`BPumDl~_!Q(s!mqpTQK#vho{TMX=za@F|_3C_XS5&AZ_c=mfrM;Aa8lUl>=|(z*{-c=0MxhxVJLoFGvp1$QnU{(EDF4gCJqjNx zj0;1u+rx)v0(Nv~(Enu)?!Cd2pzg#h_mIp-0H~cTPPA>7e z1i$fAKAPeZ*8iHL&t}_ZG5o1T?@B2!>S9{YCp<3y81jvWdkp>?C44D0eV8>|7~n$W zI?P+Pbq<^<#cJ(y*47PZ{ zgIEBWvMwM)%)us~_?`5afx@8~N1?hr!1owVEz;_9O{3p>oYYrxtze8zAD}2M%e`B_ zp{}~}Ro^^om1va6(FrfW>eF9~EyGW%NEJ?9cGi}%_*|>Mgkk-5xVu$8$sIq*?&uY| zg9MANHn41wfo$i%i8hLbUkDdzQtYl>t&JotSdg7Pn9=35dbaan<=Tl2o_y{w(MosA z&-CJizCMAdTiH$mUKiO22&C#P(uZIDgHUx|KPM zdm&Rw<*-3Agk03UkXi((L5FVwv@P-kUY_l-;gf_2ta6Q7X>+z040anIL+n*o7lgOq zr=b?5O+wkHv%H?QO_?L5f?1N2w|P@K$}t=1D}@X$jj?1KO!7LZSu9P(pJ_7=wvan< zIeW+@jb$@;cH3`;6X*x|$xQ8u4NTix63nS@!5_LKnWk4%c2k7_svI%bH%X_?@bif2wH`l z^9?$mSfzR0Ki?uCN8e0m#-(+dOeQLRS2?nP97un}HbLvA~7YJk?h zvxxy4oN&N-+=?JG&4g2)`DjPcQVsKbf~;emV%1PL25B~BXH+Vt8>F@4M8o`~Hz8Lz z-d&Y;8?>`G-z!jbskcKuW>HyEJ~4^uFze;zXh0Dm!Dw2Cz{+aG}FW(}porzRuq zp4XU3f95FhP!1GAYydC~kEi@8%q(Q3o;_fZP07Y$*d$aLGC3(J>aof@n6QrKqU2H4 zlifkse1d(>jJ`6MXoob+>xO?Yg%@e`XFq}$!q*6ua8KwK=wyi@$FdS~MX4&g<>sTg z;1;1EaLl5;yMO%S-}#--E>HKLK6rYs!)=n?`r?z<+G>z%jL6L z9c^?Pkzo1YAgp+f6vjOFk((bm*t>uKf29z=f?x}E`F59bIPxTo4-VqDIW2DY!yi66 z`uyh)_FjGU#y+)Q%hzJ`ZMgm0 zr?=r{PG4JY(M7JDT7T!Y_!Y{|?#`wJ96iWc_CNJawKanMQ@&lg5UyR*S3PUEY7|iv zLRd+lZ-&@X|KjZ!OyWf+$fMET*NwOfevZeq!4}>Nm;g-=Y66zVk zeSq?XbJ#vz9?o+S$IvWnlTm9aC8rGydzr29PY2exo{dk=u$Jj{4WxAeW1bep&Qmg; zx`oy7iZog?O`tNU(#D3QV?qv;#2278<5D$6GP0q(H8xQ-En@Eq2|X?}{?HNiFasQA z$(D!x+E|90szSJ$h-zQ)lnk?D%Xa3O1UJSaCxVfvWHbqwgyfVN{d8*@M_8ZLPU7$! zWWx+Z-|HKGCCX+;S#x5orJzii9@)ZV4HQi_w}m4~wzhVV6}DbDcVs=WSZl0tc=FyoI;OPvFRLIRG;&&*rlF)@MDQWgf&GtLVqOp zIg!p`3wz3Y3Z59biG!X{SaMfP1og;{EdU`lOiq=`tXb3%cU<+cz=CjP&5ioN-EwTZ zlkzsBKIy1reZ(EWMT4l71;Ch#WLA*ynX)9vVBm}++Ch_K&7jHiMN=myhP~ZT2FH_z zodByzojcs*Pdz~|sY$AGFR7Cx@NF|WBnm`_G}E|=|AOkB+I-Sv6I#OA+;cdZ!Y#}q zWuG@g4yYDLThF{Veu4h!%yiCf;MP-a40DV!Kg6xg4#+Dw7^*UA7vl+CvkOj~QW>S` z^8q^bt_*HV8cL3e*7HjQsQH9N8Jil5W$x3thAGUEgh9`o>kT$H3!P(7Mwn1D zW`h{0rTEmy;}x0nFnNyv0WI2x;#4t0?GLpu_ z(GZzQ3eMOfr%a(UofW$^8oenP!D}*ebWFWT>Rx_4OCVCy$jKLVwkVYakoOjlgX=X! z&tCAoMWgYB1#X6ZtOJ{>QO*dR%q;way>d7MUU72;Ljq*OG_6cFm}}U<8)IqyP<>O% zBG+53XY-KVgQ2A=`&6}{p&UG)_){+IFR(Bk?iM$9E>8nMjxLB>waQZ-jox)+GSwrT z*U|Iv(i!6Xdm0T=J7i7Eov@VJ6W>M?I92kG%Rk#1g(RWq7;G8R$8Hne$7z7HS`>_SnR=;?m?1{<0^y9beL?!ZFQ8x0qoubVG>^8AO&9vL2SECX;mzl- zRUDyv?WqQko&CS+yDwdP%9s~l+&kF&+&4Za4gm-qzL&;a=`rdycX)_;2k|`bYo{n8 zKqrA|?lzkeT)T1YMkm3(DX-nxFDpqP`_&iwH~6TY1lINANuZd5WWf?oQI-U+zIw1n zEPT6uu(!PXe5u_8MEPKc{R0@Gc=Cn(qdR-Odmoteen{q%FAUzdvv+Df@PS|W1!M@c z`}<$JxBQwIM~EC^s$~}_2l&HXCxj`+!zIcdN_M}0!1vlae7kf=r+^qYsPM`+p4Dr!azh_nt4s32iMd)yVO5|MotosF9+Wdmr6@@X@sdyTs5m3b%80Cuq1)0uU<+pbiq; zzI~wtdfH;SiH)G?@!+#h#+C%=;;7;B{^o<_H!-r+c2mx(rBoxo2A)qE(kw1cPH8+` z#+ln}3?wv^ye+-qauOMi!Z#>IOAyW`SttYCoUa$FaH-es=Et9P>n8=28x(KJMq z621ETExp8%Kqj+6tSDd^?7=JEU^iJgEm9X*CUt5Ep~kt#rXNI=*kc#6-4m0NVTA*% zqLDUBAIKha2LVi?zj}+JamEe?wfZAb`4Tn&+lOI_XGoeiF7XX<)lS|gOiI$_rxz7= zL7x?NfNcFRwL)p9XbW_H=tH9k(i7FYyn>HYbcKh4h+Uj)uxLqD45#sX=q6feKq822wE|TfX$OnRhAB#6Q->a z^#sy^A5TzXoLLMiqVpt>2$~Y6jsy@ER{^Vmb57GC0`4h6=qJ%{q@(FP8B%eYv6rtQ z+7@hsW#jDHe9ZR8N#)FmX9930;n~c|s`~|hTckW#Y0ojAL1GtqpSi9|sYXQ^r{X)t z5zB@SuB$!Wy^&XW<_uHxOd_fk$UY+e8dCvle#xkp^=v@`T%MSr=y}Q#M>Q%EpN!F| zJ(|m`*Gp!`(%aJ3X}yVhYds1|>8GHTN7{lpp~9$NXNI%fgYrq0szY6b3Plb=ita%5 z7-c9;4gKM|KQp;C{)kGGs+1i4L7)5n82LwoqoZt)HR+&7PGlxSS`I%GWh}mKOf92wNWsm(ImcnTh+0!B5|#_J>RbR11;-8;Ol1H%-i!i}_laY} zY}cdT$Rt!HilfYf*P{Xd#+lT`XbFWt)*&kCXL<-~pk65XDK<50u{tT+fI+X3?o3@R zd$a=sbHZaurY}6~Pf9aAp}$XMMtAmeru7=a@Fe-9XuuN|KHQaZ@s}8=3j&ngEE|&# z73@o@2GT3i{wl?RjQZrTAaG6A&&@H)7-@GbZ9r|IqU27PBJ-3gHJjp-xV_Aoep(Vz zWr7ia1XyB_Vm%q8%H)MHNAnSTeM4CEngn;hsYZs1;=swDJ?NB%q*|QhayR)zQ*?$h z1r*|_A35ZU6An(6SQ7>-*zg^@La@DGA`WH4xpTND4OxI6N@8A4dV`}uugtw2rrFVS zaKvVJ-j`)rl*(kz`O14pP=Y#IkVQv1ixP|-RZ>U*H#njW=0|YIvtHRV_9%q|@|7;P z5X6(hW~DfckB)p+6V7{a9FQ_tju-?fIk=CP%QVjxQ%d`YbHFIxg(q+MFJ>rH3-D-` z`NC?Oz9vKWUwAUnsTnmjg?K{79;MR(-lUx-OxhD-_TYdZ@6@!(juNUMwzK) zXlM&8noKh}SyPSyz zfgi6OUKFrbCM5Hrg9CMA1Sd+E!Aa@{L?skX90DaACP%813vhx(G(^sYPe2N?im5#S<7dIYO?cG?umzkw~HE+vA$6LmWk(M3tAleP-4#D zuxMi-DKwU_^JP{JOGZs+tXoJaZSP41Tai*9dlSsjwCax#YB68*8tRkrgd15*7FEC@ z^JQ~1C>eZN%=(rs`#hMyVPbqQg98HqL{ChEg-#Ol-ovh>iXn7wII{^uG&q}Pyhw&_ zlr^p~vvgsP9gk)>=d0p@*W!ak(%56`#wXOgjJNt&Ws!4uxl5B$6s){Z$h?~JC{Uv^ z_Vo`OkiaB1nd_vmc}j81&CZSuc^Tk?*C*`>VHckS_QC5_>))AOxM&(Q;*P_`6llzC zOc%${@%hVX{?vczACmA#oD`P)C(J57lmirREKdxrY27iIrB7cgIzi4b77}7z5w*9bqF4Vi%wv>2Mg2ki?GVU)(y{b{eGn<@uO9eR1A*;~-_0X-#96Rghu< zR5mp9!6qy~D1m%_Zv>={wjc*o;3LYXNfsNc$W=qu_a4gda54RKt)*oJiG_br6mYGvnct)TYW+dPXTa?=UTzf>`MkPh6tN z!E@I_&YXU0hDwt$4I~ybD>D}Ju}x|?@g_nbRXUA@=7R!JOG%^L(B|m)*0jZl1EXPT zsUQOfd(k+4W{9d_vn=!ca^yB93t`*l*wmh$r_;-y{9r8nh_bJhOgprh&1&9QCL>tlSWz+11nUV z9Bn+8QbQKunU!+OUVR^tBR`*)gnnd8rt~R3fS4f#rc# z79b_rjdsIN4@O~_59sNEo>(a?WMrdz3n6{$?GgK~7&(*y?#NMp$0(u*Vr-wJ#KKIl$R5qwANP&;0PWL8=@0kfybFa>|!Wu8m=pSi{dg~WF z(K%IC(|1lDJYg0)Su}zXRkb(wQZ5RUy1Z5iS*v5JLCI70)?`M>^5_SH2#Fl z$>-J=sjCU$P_P>CtR6roC$#r8;;j5Msmg>jk4;eNW-dYVsE9nFgy#7u88=5f89l;e z6V_)sxTMkDpCmY0aYD-dnX=vp%LI%+43C8#{+%dCYXmr2?2D& z@Sv(2GO4np4jRRzF^Fl-qeP~AZ;kb2A4_$`3k{T<7^L%oKO(-=o9WaJVL*n-O~?i+ zN~k83xOqG+cR%g(Y!HG`IM6f7CC7vtc>E174@X^-psFXws&`hAR$xX0C=88Y2s5un z1Dd8tkpsrH4sT6$uI)>+)O@OoQC1v5~^z-|#3x+mt!XRq0kMXkUIpRIU4FG%GX>@YkDOvv17nufOko5g+eg`3kEq zfrA596tB`T2H@?gn?ZmmGv;MdV0dU`xK&T+Wvx9&0hs+a5a0R%3MR>v99uEFb{^oFq z2XS~IZ{^?XF?}Thq{}OT>HQ6i_Aab->%*5PQ^mRAZ0XnsX+qG2W!o)=4!ve{5G?N zhhO-@>&%p2c;1`>Gh?Yaw&m%EKYYsUTVNYF!%qIs>^>vT>`#9~rgAaXV|mIQ&0X`8 zdgENxUglb}dvE93wY|^neNHoHX{x95G6}+}Ugp?#nYla-+wgasBlFW6I^aOiNzmWc{00AA3|J6^kUgPF^YGagvAJN`Zg6fgt3 ztDWJy`N}n2q~GmhUNfH!3|X@qOwK!y$->*f@lsD(&%i-3=;|Qa8Ey;+k!*uoJJAt3 zRv;s3I~8R^%Bq+`JOf>>b%v7|9g}X5$bSXUq#OJKz6y71tVuk5EhPf5J*ARmq?|C5}~ePQVy0xTS4WE*9hezURkSZh-iwV6{aEC{tTJ`t z(wpRUHntLp5Wo!O6p)@zFNnLbNx@yx(5H^6#YH|kIA{o@CbbDY(Fp^$Njj3sEKK)Lf8xotG%v>LTb#sq>ZBHPqDR6}_B^}G7c^WEXVnNW2v`i2V z9Av167OlQC2x6=O6XrlZuM zYm({ey=WxHX38_;^n4K%DotZiUrbsCdC`2$iI@s%wGM@GV>ha7I;t%X+3|2V?zzl( zYHX&yD2&|H*-&vTfi$Qf4WaItY>Xy{_9>0lWtMRqTyuLgnxx~rXQ^V+Z2ZusM^F^$ zyc*Zxs2>SrU`d^^h)|A)uCVqb$;=54Lt(s06&11#GA05UTB%%KYH>xLXLVt+Nx>sZ z45~Y}u%V_v-k%W2P7-T9yp3wZq?(&ak$E^NkNDNB;bo3UtN(ptjAw}EBpJ@L3_T%d zvTWoWgml0WWHfUet2Ae`xS*$keGxC5oJlr%o=e@55Z@aS7lYErmz%cIY?P( zYAcNf6pW%!I*plGGiscQS%Hl-W%=hWwP}LvI0d1Eh^CeUO6AuYU=z|dZ^llFspwqm zO(295#JdfRcC-si0#f<$+y$l##RS@*R*=D-?^#6`8v~h;6Nm~C9idXsDJTo|$TPKS zymzKg$Ov$t;t3Q2yjKFi6mSB_0k69^B^O2R=i+4gC(1)<;UK+n?WHyG)bQXQfa?Ie zN5k}`H*+yt0ZTT$ImU@v&ZVd%if6H|xO-{CH0Tr&9B@l^qUaeHV^0h=286gWJSqwx z36qYAPBzAQ|xboaJMhmg8ZSf@$J~j;0#!2%#rP*vOHOo#D>#)!g!62}fl` zm@qM()S|_otKslBYy@?ofjpvpWyy+3 zu~FD5ne9y-itbq2IRU)q^IeZgtIDIQ3X}$p3>x&QES@ieLoM^7&O(Zz5((htrRGD` z$7mdx2Thnz7$yrxXX6u+EmO-nB9s^!eNy$7HPyYO_tnU5!?JE(yLpc`fc&IBbs$^9 z6+-YHh%SP(mf%U|;-4l(#_)h3PzPIgiy;%+`pF3g>Q%@|DuaS(96+C?n52kfKPKqb zSMhrJiP(+C41ifi+saMUh3G2z1hb=5c?c6iV6O1ygIoCOh3H=U2OHhul_@({&>=c} z>uq!jFC}j8+Pf?Ipb>2Knp+N{5I*Ya(d_g}#jv_W*u}JgzfgkE2d|BJr413nNh^9> z2^3kN-H1szL;xe7&J0>K_{jMi%Ws6Zv?f;l{9W@N1p?v;NV+S8AaKDHCxP(YC7jUV z>g;l~5MzrxE|wsiIClHp?|#o?NYHWZVhps@IPJtWxmv5+aqiaa29DCwTiv?T)|DqwbM2%i zuQqb#6fL=f+spdZZF1AA(|6IWRv(a^?i?K4boyOqCv|IUYah zhh3WQJLaxVROr0JNt;YWOk|}LDVg3(N#U{ac9u4pp8NpMV$Vpgujp9c6@BkPfn9@!uxUVn_-L$7ou#(AXnvpKVf^e>f% zfgQah=I?MxIB^iDn;~0;Ng4t!#s;>Z_7!r_cjPaNyBXT|48*e+2Gf?-8t1aQPuE|I zF;mvXp~@EN|Ht0@#aeRY_kGoU`jCA_cRl9>BkabASJi+J5Q+qkAye5fS3A`d3@n1k z=7S~%1UfUc5hf3TRxzMp5iggu=k^WG^^4E-gONoLsP997#dxs<1U|~#K08t72W3;y6|+${cY1thStJ?J z9gu6)QOKCNL}MtMaUfFKox;NbrLeAN9`X3sOwp^Am{wz#2hssFdB;+N)`CdR7Ruar z*qYF=PJ~YfimvJ!S`0qsL`c^ZQ*u{}75szCoiKw8mdyD zb9<>~H9smKT4)mh9c;-9WyIIWIj#^H<^Y*{%MR|}<# zC9iZnms&yOi4-or_SKXeARV(%S-Yxdr}V+6>~Nkh#t|RYRh6R$qywz*3OA(|kU6A~ zV8Un9j1=*BvceMS0q+XZMMlaYF4nB4oOCLl1dw#e=GO@7m)X~$NF2J8W0rK1i642$ zLQX7v&`Z_d89V|`GUv$2bg(5tGdeQZJ?3bLz?`6$+-x}T4%@t@(3aO05|g@XbPqdHIe zswh~_8po5`5?l-%W*h%m-9_{^tq&oq0#CwdRIe&&waXZsrxd5mPOGIUqE=0Wk#ASk z!tvb{Ay%|4@!!$fxwC)f^Eek8p5}G_PC5*nUu}xQX$K-n!=F*NP z%ahd{ljd0uyn`>NSbW zJeI#d2!;Gdvk?grhXV#*@jt?UyyBx#??-+Wi=yzgCysF#v6?oz4kdjCJs-1ltiWAP zr)8bT$SQJzuiW&&)W=X0llOnpZET1 z%NQIyq-CCuf-j1!V%c;yBE#XdVCtND;BvomIQ!*2ZJSy z&9jH#5wGJ}wxU90SsPZ1vZh8*Z;M0kx_K3&~$&&pZgD^4qDF}31W?w5P~ zU|#C)b)AGRJBSSGg*( zaw=w#tYEmRpaNAiYZZ$RnbIg1beB}AsCfF)3kbRi^rS|5gR-kFV7R(vEXn1W+Oi zh&h-5BLp;{Rg*Z104u&e2m>s*YP0pX#7qH}M9H!qZyq!wgrBGgV2}K^AyFG`@0`PZnD@{{o_X1VS!%$bi zdP{lJYRs7~T{|f&0#_3VDrOAFCanfCwQOkCMYv8@C(KQ@uFZT=PbaPV^>rD`z=ueh zU2#nfV=SS{+euNxEV4|sXzZCm8F`g z4q8psccrIGTvKlp7iiHJtKeGaB1_$e-n?B4kTX%C~yER|~O3PO0U%&QWsa;FE??)VlF3NqV6 z#&rf%9}4{D9uF^Gg365@nM4tc3#aPB;x6@Pxw?vMC)1~Oxj_9Ib@1*Si^bTOrVRAb z=1tydWh}jS%9STn)fL9Pi92kbA2ngNRKJ*-l+no0OE{vEjXiOW%E?@v?Fm8<9#}MV zhOKxj)&ej!16tR6gsMWFJleEu>3wBQ54jan8PH{iF9KMF z@31eGx+1s$im#3;=Fz;y#>p2c4ZK}_=lq0c0I8Pcar#)4%Bn|@z*V3o(9)^aRDRYX zfrk@9puUKUBLaj*;q9;iBhO6_pcNQ)uWguku8P?D!aGl9=hRhv5FinCas~RRROzWV zpQ(#Qq*}11lKGU0c1Hzxq%xU-AGYD=4(HC~lHV50$*Kgc%lrW(hlDxVqF7tWU%oBM zPxy?DNC4vDZ;+u}XNRs?As$*KQj)-F<}tHAB}6n(gIz;@#6<1!66pX%aRFG7D-VI= zW)zx5w6v-dh$s}9q9r(KIi`Q@u^U87oUd#2QWbf+B|p0>ROG8W@_#7=+=NOuSp;I@ zWpPoL_cIs#in8_21u!L3ZCIf>aV<2|WYz&hac1zP)kGXhLRqVKyvtggxn^`QS<=n? z4pOLxto%)_a8F?&JFtZ=o)>zPY`oHD^zI3f?una(akruLX}T zJHRJErOWpw1`k14WX$wSz9Gg1c3pi1^cF~NiO?STlXBJ~C#-P?x9|bx#+JTnD0o$k zj^`jOA~GM(z&*q5g$BIcMMNT$`cX+cO5>KxV*$OW6DZb*D=-NaYukhYNNTWO_6609_m>>;d>Q@5hpNE7ThgQl<>sPo zGc&d6J&L?%^P@$+*kF0q1Pu=(?_=KT8h%k``fNJMsRr3eMhWn@@>t)oBsf|elR@GF zjp|W~*F2w3B=7g8+qmy5-2)!;z9{8Z(Z1hTqlD>wn%+$E4xEGp2x)lC!JRA@-9LEr zkYx|sJ{la~%!T|t_7V9XfAcqg)488@ew*x4``7;Uzy3$3f9LQ01Y_!3gYK7-J4wKo z4_nYnaF9^{0tpZR39buYyMO#!5l}+s!|pwa z#*HuD$o~l|U(d>Zi5MSz@WIWWzx!XP{`K>jy#zOJrWv)fd-d{ zG}fN+W`~}n7ggo_QRaOwVxTLEy-=4HrABWtC?SIu;GWft3cD=OM^j5y?KJ$N@myMA zR%G}Ju?xnC86Tg}4DYw}PBjQQKu|WjNPi&?s2$T6KJ(5i1@@Lz$9WC(ZW&b!xV@`A z2EcTM3~P89?do5B3S{AtQz;aPL}PB!;L=;w_q{8*HxNy(QK-GnBN$)`V-ST=D^H0A2lZJoG@BFM+RW?*yTq5 zCS+#!^e$D5qB#bq`JzS$%*vhk1uy!tWn__=y|R#g=&Gm`WhJ?Kf}&!*4KJy*1MGyZ zRE28XGtsLWorY{5bRl|Ul9hrfppy1VLKtL_gp1a-o*z=sYoo)}jEa-e$e61bv61P? zVTD+Yq-FkP#c*g>Hr=QN+c`}RD9bl&*< zknq~=vX1g*3xr# z>aj`aQ0AR#(m5y{9EA6UV6c)oKEn8w4SA4dkwq0ShMAz2c&ZM|py zuxRs2&xRX?YLP2dbo(WUw0dTeEt6Qlro12_V@42ySrJvTQdS6M@i^yOR^+P9V|k(1 zSLO;mbxlDJ+f@ivN~xY!zTbn=QxfcB0jGI6Cwju^yYSR9Sng9VOj?To-##L>sNPS! zcdF1D7f5E61cHN-FrjTpxmw4jMVg3K&#HNtM7#mjh%Bbz(1hUuBrnKX7%Y89nlxep z4p_LBbfhv8hb+372>}cyRxdYE1NQvL0<5z6l6m^%(A{`B*4u_|*6_UMOPJVy)3j}< zH@2=T$}Ft2V&l=k!d0`*^-$kpqu8B{dpLe4C+PQ=PXo zad>cg6&{ie*Oa?dj;KONsl);t#Zg5=+Zs|Cw7}mp1sGSOeRvCSj4Fa5XLFYD3EO+>$6pJP0QRx^~Ba zHq^AX0twp5at?CFbP7HMb956DtzHcqn9g~k7#t!4if)5u&FZKNGf5D^GTl0gF4Abn z>VUQPtmpw>M#?jf%%hi#HeL#15INz_ zz?{V8Y!qo%W^pb;RiGH7tSN!A?LcG>I3~yiq?xxCo)>e`qYU0pzAts_A|G&F5TnJoxW#vn#sfc ziu)!e_UA;?>)x{N0+g0vmAqo z{Q>>#tAO=+e603pXKmaz{dXQe{%HTL$9&PYJqjR--}(7;ZBavW=dC-OuJO);>r3$9 z0loUGB-q=#vES~Qgqv{)^pW3D|9w|Om7X-6{_gMIJH3G{r|$jtF@V$5qHKw}ZM}KA ze^-0sZsWG?AHMkF9kj49d|`|JcSO>U!_a~?S`|q|6r40%G=#P5ut0i#z zceOX}n#mc<_{A4*-`QvDT!W1SBQ_A(Q~eoM#D=tT7WeIrTC=rc_W2GCc+j=au*W%l zEu9E6=){A$rhJWkfZ?#_C=aUay&Lbm^T7x6ch+(SdA2|ns2aJSuyr|v^aZ427{-`;XvWxY-KYXZC3-rUIpg`?O{|M>LQ zt^IfS67-skJ~r8lFFxWM=1m?LBP93<7N_6Zd*`v9$$!&9?|_Pzj*q;tj@-%@{d-Gp z@CPzWUX_O)nxY-YEUpe+)Prd=ilZzZ9r{HU(e6th7n3YzjcYQ626(eXUNue5Qb_D> zJ~g!6=yCKvp2Z-B_OrJMZ&1&VJN+dyy{G@g7Z0OIfXKyzYI_{zyG zAU$*k=#A?uDBjid9)wO1oqp4?ht4nDA&Mn$Q&a6AfPS3y@-8&UV>sk z47|*}*>C_nKN-OR(c&+j_D}_Lr&~0`b6@cOXUl!GwOK?PC()*%MYL7xemXk z&_SQLiUxrc*4tfE)dxtS7^SxpFQWi58*n7NXo@-3#vKwmDH#pfFW%C?P5{PX?$(y|LV?Rb3 z{mQ1I8lZf)Acn6edA*6u^u#)&~ZTk_kv*8U-qM)I(0B>`+%WL?aWmc`m#;W<&V9JM(agWkhn!p$5w)$f1;0%uv9gC%woh#@oc4NoD5kp9U zMa1R7xMNvb2N~fPGEgUZmogj^vOwiHHk2|Gq?bG+B4o7C3**Dw*RmC4+_X?-PEd6k zxc~>nJ zUEvFsv@64@HxLK3oX{0xg(DI#nl&(2D?-C$5-p^3Ymz+VE^p`H*n8R1(lKN}rRs>c zT|!4|j&EiniQ}SxSppU(tnDymnec+F&umC|%VQwd)3Oa2C2c^81f8Qu3^a!+y=xw2 z(IAK9w_>IM?TC=v9(0Fg%VXT6jY~@CQ>(>L~&raAZoY=Htb%cVE7srYu2>F zC=CE54ho_e$UFH*HiyzMS5D@2U|LC^XTG%}QDw+@)2905@oJzW2GmR7&V!E zw2Xw-TtKL0lI?-a)~l@5hzcmGKGny=@X$r)VoMq-#^dbC20PRdUQOb|xS5qXR{lH$ zK^+!KG~{dImbh6~fcr=+S;}AW_~Ycgt-B7K2o1gG;6nxy+{r-mP`%_s{FzwdjSPCsWRCdUG4*W%Jp%&G!DrJ#b2RwD<}e zoCVSu_xJCd-nq$Pveq>}{h?d$hjjXzuRmvG(3m1&^xJyoZSi1kFi}0tT|tIm`}?;a z-A1kJC3w#Du{!s!zjeQ7I!JI)9L#hMtS<7t1h*~0B_w|;XlZ5Jn%VFF{@%O8UO+nn zAh6P@>-E=@k9PObc*vvw^_1z!ZR*^r#Wp6{cXF!dc2>}c>}2RIx2juq8}G}4I20wio#>}5q&)Ryd#W5p93E%F1!TZMX%Roq%Rs-PwDvx z(zB^liy!nwp}2C{7a``+M_HW(fGxk|cD_NLXm6o}5lfeG2?rm(8LuN#f zeu!06PyWXm(J)YI~9+7pq9?~;_qk?%RkH+F$h$PU{vZq400zsJ}hNuC{ z7ng1vX(s3&(ox}(tV#L?Q+(93D58Tgv**R4am^7n!be{676KA<^#vjET)N1|0uCV% zh96xozJ$z*Zj`NXYqF{^6OGGHnCuyoE))i@4ikMGcYpv3v6LjzOydY%%{GE zCMXRetm*I+kwFgl1dsv0X7G24ydgz%Z$c1%H<}-~6Ho+0`IQ*@0d}KmcvxCxYYa<& zuO{=N927B;;13m7@L|~ z_|D6}MLVA#HEAd)G+F^G|C0WDORp258WuscF);X&`d@{DMJ2zJu`RV<4@9~xew1p`&?4CcLQj?Q_FJhbNUZrsjGoQqiz4Fe0(Q*{bk@&L{}CKwCi zsRgoeCpWB=DyubG%h(pyBPQyq93mtzisws)jx~l;qesm7tOHJX&N6NWmG{X6!}sK7r+6fPP_CFSdwgjv zOP*CwjRS2AKO&_hJIq2ha=bO8B1}1M2}`N*CC!`=5~9s*_VVC3EJf$Jf^mu1ERsXQ+5m2YuUG^7cKi|E-0{Dwl?)uZ-W}z-x;t3`~2hKTfm&R(aQJLG^v9%g&EHH!KA65|h zgqS2OJr52Ip4y=vsI{HBlgY}StZU7$u=_#*VJ3)_Lq(pcWK_MPswDl6l)=zTt3Ql! z*2aQe0(fhZQli4~ImX<1XFFv>Wq5&h*gs`o`;sECwQ%*G)+& z=e%sm8s#W5#GFdt9qg0acQvYp6P!QBFx;_hrvxq zkTF#ltcYND6>~B5nK`&sj0f3}YLCH~WSxAARX@b07Us+Fz*PQ`3-Nv1Mfed8hFh68v2mg<7LQQ_vXvJ>D)IBFDLN6%I_=R>^b4} zys*7FH#{87Osvq}Me)9Fzy9lQzWIm^SY;Qyb^EP5$+o$3_~z-`@4Wra{*8TBnUd=L zxAr%4@jv^sdwZzP?{4U=HxI{MlivJeqfuPz_?+|pFaE`S*K01~e!D#{f&0k4ee3O8 z7fT?nyW0D1%v+LND8ZfEAAYElHpNHq_}=4Jk}chtP``Ql&gm_=PTzX)mYEOkx?g_d zm&sVcU%mOOzxqKxcW&A>xFMN?DG~R+v-h35?!z|uCap)|@7x}bwZrWId-wKsB#3Sa`XMUnzdYX!hI{68!3)|J6T#divLQB>350f`@={*tsPM?%ck2 z4{dWt!bfNJZod8C&d&Ol2M=!F$<@r92Og_!|zy0kUHxI#wAHMzeE^cro31|^|#8fXET*s)X7B6`Smt7E&~aZ2 zlThos1WCU&%Hr@sZo$%?a3m~J)CGP$dsm=Oi8NqK;4z{E&E&3ORmnml(WghQ-d;n+ ztCClez;i|HQ@i)QX81~LTHuEk6xBP`;f#9e@fh*T?G3I1(EDnLgETX*)!}cYCZATi zu<66NcqNbUMe&`(h)GpY@>?)z2a~ZEjnT_2nAM_7F&-6%*`i=EXf!0T5mRPQ@OSW0Xq zqVF?@PV2-cUQ-@Bx^uxpN{{fcAT*h@?7YTB$a01XO7xNtGsH=6s3qxILKV1NY<0KH zB3zh5ol$?X=!G_s#KAglB+9CXd~&Y2WF2EUfSF1QXz6mgv9w8FcZY4&dj3leO*6b-AUU`jh~ovdURfL2QNAAuzp4+^V9PQ9!W3Mzlce z%xI!&Ii(R*g{aSN91CCbnBWa%qQcI;Y6;*V(BlIHQJ4q;o*4$pZv!Z+K^)N>2GbZBz2wAA9AnWecSu= zr5*++qO*p=c|))udCVb})Tjl`(Jojz_|9Zi7ASz_;o%8;4Cqm$xm&H;)}6RR;_I>^ zaAek^W7e~sd#jzAwRD+1{3Zv&D??hL&p@nQ6;2}FR^aBVOj0d1?FRf5H7T+}CoCh% z3yX1B)|{{6q&^^9SSVz3P(4>?#A4uh6T z)T$_K-H++G(#c5?4T_~pM+I~pK@FfR*dLrnjbUaxz9v>MGg?HcIju zYSwD->Qh;shq#5}X~dQF*V;py)Ct*?r1eE0l(k+4&{+W`yOYLifN6B^_a0mL!GADckp=&k4YB6nj1$NW$3$QmOj|PG z`S80Bn*6_d`qhE(oO#L&D|(9Q3E%kPH<%HZ_LXMa{?Xe%-S>irzV#`q^Tp!yv_B2V z?A!C4ZxZ@E+&{g49@%3aF&}@rC+*6)FD$>nqa!#g!8abi{z&-KmtVHDosqyaF8S;G zU73FdAo_fe;ur4x!kwF6xcQC2eb)Tyov+>z?hD`GD|>V>O9Sb6{q&1pJiWP(*8kJL z|E^}LS%a|ux^gFtC2Z1~+-ts@r?W@>!tGzUeU$|FZ{NS2BzW$*F9H@!Ir%N@y##xE zuiqweBq-g#di3U-r>DhUVdW-jxh>Qsw{i8K{6zZ7yx&85n6K_-fQ{m`Rd*c z+Zz2It=y>toxo%s=FWSZbFaByaQ_po;VbmsqMl8|B{^Xtd*af_L{gXx;tP;2a2$0EK(>;2*9LScXj6#=Y^vy?bxFw=XQI z;KG_zE9@UV(5Xg$&h0aprW8K19pH`RVVX-{llPXJdvAUBEbgrP4L*p!OoG(g@6T97&voDn`#GR-MGWxW{;dXfid-H5HiJH*-qjmEimup2 zZd`bvaw#Nt_(fl2(S9xk@*CP@qle8)Ka)dMUaQy=q_m}&(A0XY%-GwvZOLD~B5_fb z8~CKR{wAk1m$)6$71FGAZj!n`3wmXOhTj6y{P3X{WO&`x+1kXHF!G#JYFZ)*H)abv zFb$XZE=Yanib7rC^lMb)^f~Y!HEtAK>x(5?;~*zm2YG^a#V;oe{Ud&g%hxIHlxJch zP&ng=Sr;}dNE}~c|fAOjT;c&KyVt7jdZveGwFG!V<0ha0LRw#|kBRPUFH|Kv}U|!$r@PyRHPvm%e%@1d4-mEf<|S6R{BU-Vc#_VsDsKU!4!Fa~z6A zXVbu!4F4!Q^+7fR812~5wFQqw(gPzeAb#8Fg39tMF`>+smCGXH@Od=sRxT0??ga3M zq-C2p0SO5zl%Wz*X1#RA!f5bA0UY^bk#&`)nx23m`}$B)t`f9l_k?`%tOD`&I4+V~ zI3ro-2`-5uP>hT$!39YVgl6O-%R3!Wtr0CY@Lkl-1#h$|HtFls71a}9J#=6$=DIwq z{N0#B)iK71-0HOCm}0b6whTt`#@t>9DL}v|5I|WdyBbDRhh81Ej1w zbZt+yz|4{|&|}OPFx|3M@lqlzrcS1ZMTXl2qqJMC8S$3&#b;o)iYHB^UPmfmjQD!8 zT#)1#Z0K=Sp6aOiasvYmr5T;$@1l>EA#oI^xQl35JQ5r;=RUO=YinZ&74guWwd1&k=tFN%vz#`sajVpeva&IU5j?6iB9FN9wKxyz;3c&^_uCXgdwFG zEIVi$c7tBXFI%-3E)QL+AiCPXsDUc8(IrO4evwfN+9`tqzL>OPD6n8fZwY;gpP1M7 zE%Bkkq#5t9JTQ5~k|8SS0_*8z8iYlbA9ZccpoWWNLja4F!eb^=( z$N6ZwjPo3OD+J*Axyny;*N!>cbJ! z%chGDy7$2E6+reIz<_&dQ~CqfBLy7HyS>|(J$#6UfA`Uca-5amYikMq$;XpG+Q$FUdybj!hofJ#8SwvmbZ2io%!GfxLwB|l zpQan_d*EQ^FaPrC{)4ndfXY&(>Cng?-ef)W?K`*c$o-w~xJS2col=6^@Oc00U*C3% z)A;5uT8Qs||NF{n4S}pl-jNb|0sQhWKXe-j!e28I^ioOCzSX`Zcaq?zZtVtt;LBgW_(`kl)BRW6JxlxD)5P=i^wvA#v-g;|dpLdg`Oi~2DyJUa zsQK<;Oo4B~zk1>H1v$INXXf5ta4)=3-?{VPAD$mykOXAEN#GtN2_8H+FTuY1{O5PS zw0MK(=FHT)`&Tc#&`Yo-maHZLgT$jwkINS5ke38$Xno_g&|9?h8w57JwA4u$VA=N< zz;1!48tKxawr!C-z6<8S3!3;{TD|tmK5TTcw_R>*)12jWd8oAyQ3ZtF0rhdu!XT3H zThR=8b%uO;#!c2e5gMaVJnCj`%pvB%zk@QVKeSWP#i!yt3fUmYBk_0oVz zF4v%iizdY;-MVVVDH7^6@AXXGjD_CM1Y>ZXT+t_WX*@tP1j;3K=~!{sLk^vFCb{cd zTG?tuqveI#&;sVwaAdCWNeI8R-as=+yLBU9Aont!#keldLxAw4Q-F@X$ zZVVYEI`L67?LeIv7baAoTL-YbW3leWCI5kX%Or^9Eyhq6+AZ(ini5EQmPXtTJ-RYr z3)FZesqj{{g4R=NRyEh;mHK4{F(eZP9X4GF8Zdq8LytV#pfNG8)J!GVro?VAE&irD~D$$^+U&@N^klJbcY9wUQPO zLA7K>HPPH9I%w|?A(Ld0AGUS+8thbtyf|o$aA64$Ho=+`&W;Nu9+B68P{fmxY{-V3 z^|sa?r|;H;gN38SJmOnDPE}@vj!Y^7X`0)P2J}v&kykJdX46EdqT$RX71vPJ94k1? ze;8k>7t98_f?V(#aiR1B&Mom$uCNS6!IlLESy(^|{@$WPte-_f*H07GHM_b27B(CV@C&C|wf?4HaHm||~0^sjP zt7G$DE)U{lUX%8S;#ueN-=*jdS*v?w6jO2BaA*?;{KtoD4&wtDxxg~5`GnotsRbiH zTh%oO_wep2FeA|gOroi=u1582)tEmw{;|MEyFwZTKD8(m;sAW2*!Z`giaM;i9e*;A z)g@G;tjgT+QI@ZG78O2kFy}mdStkAzku3u6R#PUt4_vGD94Z{ahT?>2(ACLVir&A5 zL$*309a|h=L`~p4Z82KSOB+a9fupWwlLZlAH@Eqd@A<~vfdj$@vzkNEY~0;h{{#HD z=*>U6igS%rlk3$nLs=_K@<&Z&*Jehx`B7ngWS&`+hIXdJ44r+Hj|MI_Q688xdPx3dW-bYO`@${>5+l8SvVz6WLa*lQP+PipwkuV87hAjZ;-Qt(I z%J>T9bu+++?^JT^i)e_KQRez{*uUM^)NN>pm~xG zI)Rd>v;;YJAoLWqfk*P zXsn7bpYdtE>UuMtRh2yNJy^$ zh0wFN1s(Rz^skf?n^cP^2|26tiZ$s{y=`)_SXC#@Eb`Ge+t0fwLaQ;e@}NSSOqpQV zvo}~o`}H2olO=Lt4K{0alY4FjIHD~W;fX1$%heCzi5xg@GBkXSr8jfYQP^_9r1iaPFz#P|-S+2l09m_>SIQr5MiB4i( z0SwRZwFRq7lL#1Drz>F7>>q&V77MEfS)q2OU;+Omk|ARj3bxXOFhg z=xE9|T311g5Elc*h+rDe6M!cvHHi%7PPc-SxKJxt>de92&eq}c@J)(2Paog+H>@3q zPLYe8Bd2GS>{Sv>V+Fn10;AGQF?CTAG(w*P`+nLoH+iYR0If=qQo6{hSw5l=5}E;m z-jb*Jmo5x&e2_JnqTSKn%9c8!pY&&G9k(H_d$c42vCS-OuJh`n*z3 z2)lFZzoZ?U$^51`D}wW&oo{~hYp1WD{+j!>^S+m1?%XEa<44^^{$KdQw=P0oier7S z`ya}0xzoSt{^q4b=R7`53C=P9(?#$tfJwtc2QhWFU7jyE1?HwvGhJMypV zheY%)DNkRWYL@GU5$)~)_1-^yPjkc<R|`@`-JFY(%- z*Ao*P)U|DT1C4g5uX=MOLVHzhy{zI+_+7{5C9{kFWUegkhF5!_cej3m?YkiwyI<>; z!d^{!5zT@INIy-eG~5NU(8U)WpVMy<0a(qmR94Ql$*2WLnt!bDm86^a=-L{c2ba>2WFIILq6e!eR`Sr1Ho%xMjNl3SmW~p9^wr!CdyZg~00jD`5#%@N)(d#M`gMRuqLR zdJG02SAur1V0td8+4?0H#tv-4t_~fP&wy6N>sjCpokIGHcv@zG5CW4WW_=wlOOJU(vo<02j@>?JR=*-?)E1;fi>7$XsdG^zwz z${QnMf%i$lS0wT}XwVm_@5^bqnl6@klaI=r7M~A1N*|V#f;J0m*Nm`i zLtqt0k@j!XVFwoh5oC&K(R;Rt6fG-a%qLYICgfgHa7F|B8 zj^fb-_pvt0+6sQg8mUKG?oUO{&v==$h86zWdOVd7?Bv1f?9Lv>{yo^%tO6+}oL|qQ z!7%sn;)LDY8J+R@yeO_3O!%NZ7yg4k`Xk}@zy7_`{Wtj96}rd!=_J>)yn8o02kq@YPA4tx=qZ=b59s{i_g@+o zODfz!c(~8kwJ*HzM}J`WaPV;d1-`6>Ztq$W7~`jq;7{II)$D5YYErNG7WX%P_wMK4 z`~2sH*AC97zwy8)_uqJ9dpD~g0UPu2{+{U3f)xGLUzQG`s95+_!%exDtxe)n#2fBS{<1$O&ND<=mAJ7$tVdf4?dVCqAJiD|rizdHFr?Y`|^bN^A7 zf!&zDc*dR1O5Tax9DRtN{KC(CX&lDiK1cAH8;euYt;a1fe7W0t#VFT5dcfD!fBjGH z?tlICM{k~fom%za!JA+IrSRy({r&ge`=__$e~#}O@#_Ao`;V^3ed_4l+wRV#8Khjl zK@1OagbxqD|4R>pQ_VZ&Ahk#B{{H9RyLC(c>j=+TBmv6sr7`SXAVL2O8ZV{s(cA3k zH2!e>`0+cB&HX`o`ab&TosX0!dMeLFyhfbz@$aL4|LHaIjD>y4cQ`Oy^l%_i8Xvvw z+=nmzV9bK2&fK??1ZelKC{OIE90Hs(mHH=2z$ReZ0k(+dBgVLs@XnJ$c(hmvV{qZq<@;>7EuRhBYj8~?BhvKBOQ=6yOCim31C4dR#i^mx1+01qvN2!e)5J|l zT`-tjRU0}B-}R(d8=8wc%WHT~)+kd}`@iAOP612xfs;~x!Sms-j`Jel%X0Q2 z+Jx&a_qw*v)5m&k)}IkxI|tUf^R+{KYJ@+&M&(mW!e@*=%Yn~w;Ika~EC)W_9H5sI zKV6Pb$IV6=!_$TN)Tu9eKWYr&-*)&EGCG5YuFv4J9QZdV2Y&ojI((Ace3C{uKf|1v z)=NhP2GXP_53WyQrd^$PIs6#4r(Hq*6kXLbi|g!I$Wugg%|W148=h3pw&PW)ryT=! zL#5jA;GT&tDl_R-1zaNjP;samzf`v?e&5^McxhX@#`^u7F6+BFujQ9`J_@qdmR-aTKv7jjaW1J zNnr6*fd{Dqp&D1jBvXS+wr2~(y)`OPxZolY4z=gg@4ydf-AUD%WcnS?Ps_21SsL<2 z)6`cWYhV}eq&W(+SfihfMG|eK>TPj5E;2?NR$K~0IbBMy@dz6$HY@WKWAC9Q!uqkE z!YBg{Hhv&)=D077$QW6Gr@Z-d^pUEkpUb$m0GW1S(0qs5xyi#_y6d>k@EFqB7@ifw zz5jfxj$DWBl{ACA%Gh%dH<+N-$jjY_sJB8~Q7aVUV*`t*Sxn8&_PMr)zP#W+b_6>C zhpgB}Mymu-AB6M$fGWhU7=|EEdUL1^BKs79$oY?Fqevcj80+exmwMP%ARF+XuE|m- zEo5GU)zVGV` zi9cQV9rvFR;p?v}*3X$45~=v176wV%y%!?RUE#4d`tu`z9eO7GYVPPH}Df zCobBi>2f$rf7Y##6)*p)XCYG`MJ77!HYmHk-c}7N*^@0`+XZVM)~I%E`vjI$_!4Fi zY+&ZQ!FCOEDANl_y(5(dgSjE{JO*8`s1FRwE|5Gcg(y8d&xhEAz0lTPgpJPk=wc`p znR6kdzFSYx*w&1E%3Q`aX1;ahVLNQ2CCaCStvNjHC8iCvDPX=^#;7<7JGJuV=IJB) zGU@T1=M?Zz%%}z`@k${9)!I)2mdeO>5n>Y8oRWL(T>DueY@;{!As2<&wr?oT*%WEv zyzuN-AcH*%WcItR7Mv15-b6R@uBc#IwaJIQ4vH&kmpEMyJYB(C;8}`haG?$U(`BcZnFFT^o{g~rt z+;r)o-b#%&3DHlYxZLxF7MtmmS-YH8lK4{qz3-wO&~+5W&TiTxr%roTMDm}F2l~1n zXD%jsHqjUPCxmFjLu%Y+t1*=uH@O{M&Eew|xsr_=N!W&RGAYMY!>MDP#N?!EXGfpL z;*`z>hRL+qBu^I)9B%3y+pgq_R}UaSJxK+DzXsK^MtzhqyWnq?l~I12+s-}(MD=p7 zt#4`bXzSHi2CaQ(e}j(`;n^@ZK6?E4;NW}TGyEgEX8@ zUKMIT5)5YVd@6&3&#g3uK;!Y_z3xG`mcY47B(QcPq!)_0fh%6sl0`1#zR)ReL{`bXH@ZorGZ(D-# z_|9$iIhZHkk9YTK@So7rqlgEfI`)I>1Y3h&+dhVcchD0@IdCCyLu``-Z02N&-FUjHn_#`Ae#SGH(odcD6q0Wg4oLA-kbfB)7x0W zCTO4?M4iOSAG#`fUfk$!?$Cb<9fV@5RF^}n!TJQ+`;YUr`?^wroA_xSd`TWSw5U03L+d0u3*)|Eq#VmHQLp(v~=z1kljs!j#`BEkAT7{H0YhzSlJknYcJ@o@8Hh+RI#}AZqN#-S{D5?{nFN^z6nf_jbN!eo40ccpTbg4T(3zJQ^ipLWryElR4Jd> z^6D_Xl27KB#$;^I-WUtZ8FpYt;s%woqzI{723>CsM&jGJ(mU6x-O!GEzTx4M@=_Oy zxUO6Biex+qJP8(Ej+s$`v33g6x$M9!(nB_9jg30F54(BMQ|OE_&JiXbI>N6|-|=59 z7t;(@OUpa4yBcgij7Z7Vu_O@=tE(gDfXTuZ`StW*mChPFUW}s7eLIYiQ}B#}6Tqs; zsvHFZ>i{RmfvXatoYvFzaR=gaDOg5F%S>pz>E%S5&H<}s3pnOwI%}*fCaX#9Y7V<< zR^W-%;g*0p^{hVd2W`D{t3!8CSFQXnjd<;m$=3B*PZQ!P4ppO&5wCfYn=2IM%x7*k z%KWO~An1cKi~?yjir$|P*^1|Iig@!T90ZiQAZAa5ZcVYU=XMZ#mGFUL4ODMnHAhe6 zsat_FQ%_(Z-h<)*n_E?w1ucZfK}smEYe5{ScIhi}RS;dpSzHpUUNsACqeyY%Iuf2T zj2ysqKr0}BKR<9h5C>yKV>n}Ca^Z6&L_~*xAYOEJ)SX8OTO8p-Y?Gs6G?(>wHCiH9 zjAT~5iYq9(MU3?_OltG5M^nFI&&V2Xt4LGHqwz!tNrKE%_Q0?^A!Qmmij|YXTAd|U z2A5O$I0PhE-UE`#%|1Mshb=iOZ-OD|b&;2j{t&nW_=A^Iz z^N+vwoO9b}jU`IP5e7^5x1PKI&v+seY&Z;}-k6Tm$dr0x2l2pDjztSsLDp&P=R-dr0)JZXpmoh$q zd|=yH^O?9KRfx*~UIx4X;7j^^k2q(vE`mb`>M%WW&2i$=xTcO>Q;Qu+w#9W}N)Hbl zo%OlNU&ifRBiQAj>{A%k0>@CSxD)G6X<815&JxDcGMYOdGY;6v0zxW4m`CyO0SvOi z>j{9sf-N~sOUY1mnh2iDQJwVz3^%x!5w~lj4)&dJC04ehEyv>=7dixVStz%z0DI<< zJSf32IPX2wbe4ymbCs$D0antD0?wkWP{+oeFI|fQ^}GP@mz2J%7X?HA>TnsL)uj2~ z-hJL66>DVWPuz)%Y&sm5uU1WS8L6!n{=qmN%A&@o1S>ep`6ZIqnr`KyWB%NK-&zqfZUmz zn4j-xpV&Tm@Vn5AV08(i=I$m`ZhIAVhO=9wXR7*6r!SK9q3MrO=@3o8-q8u*z!cBn ziMgSl#$rp-o@mQ}M6M~(Q^|OsX7M17Tk15}zU(F zt~|4#%Pz~1ZCbZ@c3c7cd_l7aQQ&qlnC3CeTBTs(K_?2g^`8K8Pi#C zs2Qisu`Bts3<$vPOL*(s4r9q4I~ky75SAAd*2l~dxTnu z6bnmz|M-!eC-Z4N>nPQwT507~DdFl+Q9)AGb8b_iu)p^;bSAG-!Z$)dGKnc-a4Io>DYP}wXoo|V-KkzE4M z_jsB2hq=08M{#i4i`@0Qkz?s+@q|=}uM8o~WY_ZKuJC#v|Nbnp8t3Kk^FN_%HWKfVN8Onwa3e*h3|pJB2y zoyz>n-s7)+_2xcnpYciajIRR{a4<#j+z)^F!?n;orOLrV;MVM;=zjAzzxc&9-5E=g z074UDTY~%dcO_uDQDhWYGWQBO+tZruC3d0^V4U9Ml&Z(d5OzdQY3@U?6MbLrcTe`x+}i$Q&1^%?U+x$F;E(=5j>Lf- zad&yArq^_md?k)}qkN z8!Whcwe3J|_u(z!<>~_jtWz%QA7BC#UnZO!eq(i7sCGHT3%#N^0MYJO-BK@G6gJ$s zL+bkk3~Af(yp}`xkn&I7lxR-@%30-*e@Ix*XF(Jm|BUKPI z^@8D2>s3M63xo!b7GE#RrfRBXDUngXxL*T2G=*!TuY5Fe?#%vqC0o-B+Or&){9%6uF0l+L4mabP8Q|9)3rS0dg`N4V^J9 z`4{ehelq=YH`Um_V71Ps|Ypi-<#Ll1PoysTptC{XyiofJ`- zY8Un0b6jxmuCfZh<1A7jr8^-1R3ECWfkI6d@?QrcM7jjt?shAJ44C5^xUC@$wuby! z#Bx?nL!fE~>IA<I&5kFj*wU%URZ>COEu{}wz*L%LmwQ*vmz0fn zMR8oJbkVM6swKs|BaGiX_bvJwYQuFPTB75cR5o2JG%s7}s;OpywDxI?(XC=lVH`-C zFZhV?#DU{aTM*}?DAF-Xe&iJIlUyzUzb8RdwE6+m1@Sw;Iy-Ra;)RP@-Siz-c*@G?cPz#YD4wkc~q?8g&1pGSe@U>=KtSN;lk2R@Mo69Et z8FTBj*gU8_kTvtWMwMBN#}H_dtf%&6iXZO{t06nYbq4~<;Bv~ygGA6J0VK+t&}nHw z(}6;QyleC6incZ@j@=|LmsvZW9+o)*F^med1SS-9Ht3EJQ)+|Y(&+Jvk&9B3wZCNJ z$7qU7)Nz^~L5#Q;pj15+Vn(4HVdBLlqUeH56lom@s34(_eN!Bu&q8SodTf-5$S1_Y z;n>#vQ4hq9AyRo3e78_X#|q?8J>zL%C_(u~yii0UF8aC3+40=)67EU?@NIfZjLxjg z-18tHh*P`5J!NKk38{<;K~+%ONQjUrqpSdehgV9Q0``h39?e7UM4Mo(ZsfefXmCqBv`qm0N=zY6-{6>NozV?DiP!zxNE8>|X zU=8m9!;n3!sjn%)ojdnldGEdVZYj7%FLY@@%kQ^u-+P54ov$SU+L_zGDZTEk_9nyGkN5WW zB*CB*Dn`MeC0`u0JKH++_;<#!bF9RbCXDxM=)j*d({$)z4Q|`7*=4P6 z(w)zk!};BWd@4A-^VpK6yuSUx?GHAAPfu@J&YnK_u-#x~yL&fjb9e8ix-jU@yHwY8 zJsfpLs=WR!U^`rr;NFe1MSNa@?NCo^*SU2ce)#s=3a9h^HsN1<@$}J+y^kJi4eqWF zD`<^w7+>fs<0j}+*>v`H+a?LzBaSCq!;3H8bdo@8a5-sksD}7|x)Q7-94vhc+o><0 zOK%E6os9lY@4BO-Y_7;#VnM52g|aKA#SYywbOgN@(tC)vc7K#6Z;Gp*i+s9Cca)y>cXgsb1r zeL%lv5R&a|*%57uWQo7l2a&6*^Ot`8S7V)?q^kJ1=KZH0ZT{`@E%YUF$M5)&{6b`Ggrk*V6pXN2; z(^|rAfWk^&dEnP_R?+hVrR&(u=5B#4i+POzae4ywa@geAnx@b)Kx&?Pg!#hIg&)4G zUDEYrk;SA7)HwtC_ujiaDuhzYx(GrBSQK%NJFEr8@i8DN&nlQLP!0$>GP$D`3~pCg z0Zei!LUoC{*&tUi+UwqYB5eEgv7Shn;0u%!OJVZA;I4+K0^dJJ*rM7<)eUaX8aN|Z zaTPA>h%uX355IA&L0BOK)#91Mf=`UeBbtCXVQJ(+T}~|%S8i41^*lav0f>9t5DV{2 zX1&YNs9l|CwML-aXpyasK5HS0Rz3E4ht|eQ^`c(QbEG-RS1o8>+s__?%MMlel=unL z|NL0KyvoRhtdslML)3C8Yg+0+g`fg*j(VdP!}Oqi_R-UEs$DxeoEG;a_2>rgujE+&Z~vwHKkh_+>61u6laBnlidiws7@nt1bKcpY@JPKrjnxWR2gu#W zCTanlPMG(&)o*6ZFL)k)xzz;7w$OD!4*>5o5Q#%EIv(MODO<9~rA$7L;%AT*1sEd{ zu1Q$C$4r=reYk`k6dc)~4l)|lb%>2E z?j}GUfYjEC?z;r7EAWkD4 zMPev|9?&tQtV8~wrf7@^gY*hQePQIMVre2uiMFF*Eg05lLI@M)Ky-hw$n^wBi| zR~mZ6(gOvf$1!M0AM{bRAhPoWbm7NU3iAY6)NrsU+MbC#i4@vm2X}}C4X+lpJVi*i z7mE)W=!~P~8;pt&a0*N@T92a9a3->|8amR_;HFsgqwJ~eBVQ~|3wfg8RLJharAsdp zJ|`qDe8ttl88;aq4ThpB`Gn9zkD^yfq}qYcu!P!(&cicFhB=+1ieixNExd-1;7`7IPF6XM|p@dj}}y@ zr69f~0L7wT_e2Q_Qj<0dLU?j9#lRs%Sg@ecM^#Uz#uRFFP)87#lV(5*+*KSTsyP4= zV21#>RYTA=L!BNOB9)U|IHDvT9#|!f*>jaDmhN{X!vaBoLbV;mqD4&d!UnPMr@>5Q zqG1;{_B0Sme(dPCMaWa5kpcFY-baf_j(34%L8&Zs49J?bT_tK>@%DGYdk zH2i{MVw7B>2*PsGOS6gim@4zd5Eqk{m`PKh{Y5AO5(TNIGmOpSQQ@#X;V@O)IjW`+ z8zJN~fnp@ATd@+{y)a0rp$bLLP1vzYyYf6L`r1?_x`;2fPjRq;kQ3F5Ao6nH=Q3QG zO+Gq*26Dp_?I6(0ThK~~`t(SZ>eS)G;X0&f@rcH0t*eR*=uUTHnw3-D9%M;}m_tj$ z)EF4y&g8W96N?yKT-U;g0lr?0TOehNs0wuCkJYHSVwq&P!Qn-F^I{S@sF+|<@YleU zppIJ&*yBfeV8&m;lqoYIxNu{|FukEgI8*vi6?)hajp$B?j`gq+N6evl6i)+m@TQQ* zY79(|BCkwLwgDxQhX~@L9R$%1f+i$X0=PH=s@LH_yAlF{sh7kV>W+tT@gYZ=LGuD< zz$@aTunZvUyn!SDVFnDLE{>(i4IFM9f8@#Zx?DcppynjH=Gq(k6U0qaH3{ax+9Ft>Ct_`eE5N;6Czlb?Fx#r*c@%(@#L%a92!0SM7_id% zS0(20_?pEc<|x3XK-`Rq4go+kvr0`t0XJ$wrI=W`PcHKII-+5UV!4H9Z!U@TuNb`9 zg_$y=j#+bU5DM-D*)}qR@Pk>wEKVaa7biF=Jd47Gs}Gl|s(nSoer&~D&#!;odc9Q$ znyV2b=?_7H15EpC@v>@Ismat^T)LAG9T4EOjc6 zSi1}9lljH5!jkn}g06FU-kaU7&8{HBx>xt+sq}dBR%jVaXDg9Y4$H=Voyx3iEcb}g ze4q&q7t6ZTh4(t%9|PDFiox9A>oYwy+BKRYEm@MnIhDwTCAR_{0E7R1@qL)miYLo1 zR?Not?4dww_Q~Q)#qOQWmcTHa&f{ae-1;Eu!%8`u3fM^k%*nQ%z65mc&K@kajbm-_ z;K7Mgv67;=4jDLM2PK3M3DRuKk|o=+_w5TJ+%CIq+8n(zX+11Sz#xIw`=9^WIo2W9 z20Z*aNswePjBVLPMW-VHz5f%$Efa2yY}6OE-diEKbn@j64OWIXf*^_;PS|PgLBS7w z3B2d1qf4=RLzW=Da8l05}2y7E-6q99hblm7WSCge;-72@fnm5`UyKp|i&{EK?-ip8ono z8gU1ifuaS>WC=BFb!C|@sLym#EAeR1<`rE*Ur0euO$lIqj#F_cVVI=l;MCh-fCUum z2mT%jrO`w`#iX~tMjul^I@F03C9wKDQM41zG!JKtaVi`%YX_JOm@1Ia(8tuMe}pql z4De{+rR%8??t$ZoDp<#lhD}toI4loi_3%JWOmm5$e5N2Qh@N&3C~0U0nioC0zEf0W zK=I6EWU6ngwUK%g;Z}FkRy?kEL5|@9Dl>%z>Y=lu(lGa{Vq!PLbpcw`6Le}A$1z1*ir*stcc`L$9x`Y4_(O#57OK0Qx2R=!wrF~;E!sfMt{VKGw~i(Q5Qk1VvRvSJhK#2B;+v=-Xl?+fnd-h zhg^ZX?H9^lE!-IP)dT5I7y&sX&Qz2O3r5?0cu;2ITj_yx?1|tI?cmz zgv4pZEfh@1mjeXs-NWQ}=BcX$)+${~mwp*ibzBdGgmgXRUCCS~>XX*BZpP!rHjj^$ zT=^=#_=02EurKxH{@ADb7iUIxCN~iSk0Eh;xU;jd_Llq+etb%ueYQ=_o=QG5W*o`x zSXEL&D`Z{MpF&Odg|44|J+!^}^xy{UR7F!rjcfFriY-A|nI!?OkY#17(8l^gmIMqE zm}XxkAf34*vA1@^25Q|{A=^Q9+E=1Is)r8Y1Zb?=w#E^9mjda`9T=S;%FQh+BXbp6 za^H!B&dMSQgqGd+1U~l|;x4ydI$o$xWV8P0%yL1RxSC88e|r7eD-UC83KzH6Wt&ku9;|7bhIGM)^a_H!6L3O#&ty0(jvQ1 zIwF-v@zZd%H4ExMf>mRJ5K1Oy$G{&*v|}si()lK8hO-2w~EbIfJ#S%>oZ4DH~58HN8Zz@Ms=#Yi8fCgX6 zTU3%xvu@P3P*MuTPf3`tGp5skA`A^I92WZZg)ocF!Yzn_XP|}?ZqOc_ib}~K8!SPg zoRI@5c{Cj;&cJa%Z$oG%vZZ*K@COI21n?*>P2hzE6B^R&J!yysisHE@T7%-$0Dr>UFs33?q-x;(;zM03zP*h*KaB0Lc0#Xz?!2nz6Q$ zWA`Bv67|fHHI=Qo38u>N1cFKUqK2HBmo;JWK(m2FAb33<2u)Dlu!J2=gW&3(2xe+D zUN1E@GaT?@_}XDMc`>uj3{eXR4K=}*8CF6V7(taQVFwM6gsIA)(T4#$7J?~}ut&im zQfSlEbR1eZq%lXR`}|X(G}G*j;M6J-JK{81IE2Y}hY4RD-=xtn8?UPjyWCBtdmIsu z)1=h`Q6vDZ(Hr$dn}QnFpq zeE7GT7ZRhEfD3#?kvK*f;3NuJ2IQtHr-sRK#i%3s{?BPSUwbxuAO&v2aP%_T+G4KctCGyn>n`Vp{h80{e>#_@SF}t_HAQ35dC^| z=C|PsDC9G4+{lp`85I>~QEKj$a>NjeDnPc|&LJs5NkaEH!ul+Moz~}L#`EG!v$OBKlf|L;SLHlZRW8R!p$txGHvi2C72H8lN*Kj>+?RY#uF9z}DAz37PXs<_SHls;UU1 z485Q{1_`ddT4-BUIjG5zFIWICHQpHeQ9@xY&GKLS|-3 zX5S=0;?A3$IWH?>G$3hO+CRnta>$1Bta7rmEspH|K{GL)(84OU9M&I6RKU!}?FYBn zAA2bRS^Zr7#bdJG7dMs9t(ic=M@ay>P4XbD@Dn8oiJ_Y@>3T2<6FmG0cD4#h=D>~VyyyeFRdCN6v|N9?t= z#|`2|@qMF5$AMWoDqYh%fxB#a8Ts1d2wgdPF99oJkbto4qXZhgS*+JhfFA8cc;jfo zqH>L+8_L5jE%6$#Uk2dtXqY6UKc)(PFLiI5n1VH9B64ppik&UV!IQBp8wAkct0lct z%;>js@oP!z7lt5=s)iuZ86nC53DM6c(r=Lmy}3QMpWv{%B|7?2Izn8ju+y?3D-8)~ z!9*&U*hJqk#7&Nl0N%!=MF4r`)u@XWhMT&6CJVvrfi#3`2|R^~V?0rXm?*F#qK?sX zQ!r@(UQ$w_6eEn5l7mNqpC;6cFti8wi(ZP(qu|hp6Op-81<_B61H=o~_F z^!!j7pbeWvQDKlDC;)V#WWkqY5goodxoETjgAAD=%W?9nCbFP##7>YR&K7ov=_+^y z5=kN}dO9Fo>yN4(#Sd{HK&{UerEr8rb;NLFIy8?CuU-(c)^O`E2TX^7j;R*JCLQ!j zG0AR<_yjE#4IBXv=IK#t`e{)X!dR1sJA5836T(H|OBBw`^o65XwH|_Sy(Skp#ZNb2 zQl(mdlmJQ}@j|B&tzUC$0gd5G)sMCEng=%gd7W6u3nAeOh`S|_iFnjRPKEGLq1J7G z^N32EsC5>^ox|u)5JF(5upoX>s2XUnu$vm3D(%&+hox6!n$VNz2w|H{rm|>ICnpqf zGN=*+*=|)7HQ`BGy~(2CAl4)(%w>%q(PEn3kVu(h%9)AAZ{>u-*mng7{MJ2U`S2tl zB`TV~X~!pD!r5*Q@+P#?-`xv{JW%S%L_)&&VT5)GR& zwgiO@Emnh@VJuB^m>w*{hY$u^bC_%P#|mgZo+GA{F2ZKz&Iw~d zcVcG@XmuA3#D-=Ok>eB_ixP(tom~;Wz9J_=o&7FveaFJ3X zxM$-Rzc`gyzVvj9;$!2hSLei6u~j%HdG%_j(kIbz(qpCUolgQH|6W&OB9S6CmaSe5 z^#RMkg#^$cQ|Whan=xZU?uNn*$@7>^u+#eW?qj8g=H$@qX&m40Onp&wNzRg9OOTbd zA@>>l*=d@v)YkSz0$Q=XVMA{2=FPM+!lF?*qk5J=%%p=KXHl8dt`lz8&2BgAFWQ~$ zgV}kZ3)^lZtA9c_w$`a9bZCsH_aj|8Iai;MrYB=(?zU~#3C}%o+Tk?Ujygv@+3VG< zkg-L{FUhiamZgc>ak?{i=HgqZCZ*R~!f3?_C74lWeOXwfgJY3?+Pip% zpl;L1i>)(LtQlov$J!$h9q)I%-@BA7uCg*~_P_UW%2?U-<0U96vPU2~MsA9ZhaH|~OHRO&4yq3kE{k(O7)rr<`scqPG=OqnWh3;jlCI{=O65SV? zkRy=-*Ez#aXAq12#9KqPj0kvyA3E(({Bek2XpG)1l+LLW(g&&Zz7eD*lha^RH3~~T zIIYf`%}Wue&)w;LN}lPIdKIVpChU}wb;e^dTb8L>P@`X>F^uU`9+ZfVzYub3fJD}I z0<2wzn<~mG1uQ3_bcw-YMWK4uC~iQ+f~HP?s>k6GbK+vc7vVyCNZ_NBFltyenXaLG zrFnHj!(5N%wO8JWlumj2+yxakI48E3x;>0aZHSOUF{4mo_J)r~zt)5*} z)ILJ-Z45@7CavRDV>a7yW|z_usLgCQ%$pi09PL?jv} z44K0;HGGi66rSB(j+Y>v8)qHjUkQB1fVAtqECDO?hL>+~Irdtl=Lv7p_G>C(Cr86ALf{Tt% zMbQWhckmGRID;g3kV1PyS_rzfs;hz*Wo3xWyrQEUO~kiv9aFe14DB#-VHYTNrK^}= z(z%Y+nN&SESVM64e& zOW$7e;0v`TqXCQvwGjK~pp@*oNT%v-)?Es%ez4et;V@yGr~u^M4N(pp#PH{!ADn1j z@U?%)9zNFnpERK>8>Wy9;{`<6RUyojh9#UmU8rWoGaSz&2!W@L!7UicmuxiYO1g7G z3c;;1fMqzLBh%_Jjx`aKEu9pHhY>j8hijjR2EaT7KEu|aNVrp6>~*I*+=AB%LKR`M zSn)*h3{az<2Kta4J=_(l0uzrd(}JT@Iwx~bvqEHQ!I&}DBVl}}No-#h08?uSAyhm! z5{-c}1L=xt0DlE5>8(a$hA_&42vP7+yxtNAqg4%zTNB6_(jZR(WMXj@$1N^=1Sf2e zf@3%fKiD9Ei|M4XGOXxAs8xN!((4PFpvJjK7Ig^=k)55&$%RsjYvK~cYqN=mHE>0R zmx?w-l$mId!qNK++ChMZ0kP7+sfrKNrN`AQM<-}aHS7wB_aPc3wGIlkS_uYutO&il z?h<@;;@svS6X!S^R#YqG1iG;mEOh8PE5#dEHX#iR()Ic{zm$s1Hnvg=s=Ama&+Tb!JNU#B;43<;s;H{S=CaIF zm!NYxpNDJd+@;4z2bwb{2XoohDs)Q@(u>mFwsaX6e|mAeFfR1|I3f{`t#e~XdzH=C zTQkp-w<3dmiaB+LWf18(b|(QV#2*pn#naHQx6g zt|j03cFG}2KDbD1jDM0?eUkv<6;r=~Nc9k=~QlwGsR#iz0Ruc_zs!G_F)yL6%P-D)1J) z6Yjt+Z>IS|IJr!tG`icenoRjbmP8h*Agf();eqK3GIfn{v<)swNwcI>TI$V{3Gp2k zDk{0s$VNSs^h?>u%L=!&F5IAjB%82l#F44sin&Putr_^Cq!xkS5M5VXv7P|_mIg}YCd7ph7U`6VM%r%Td6Mw&!2oU` z4peZ`mg>-16MkI@OJRkVZgIno(T+fv7M6ps(iQ%+{=?L1z$NhrgGsRxh3jNXNtg-+ zgN7eU%A%A8)hmS>a9Fe2EwmSyTsXtkpb+NKP$lGrJsplPXCzXS=JjChF$njmfh`S) z9YWoh9-wSc+QG>@GP-AxM-Qws6ATCj-R-KmF)21j5$gfCH0@ zWa4YusOCf=$zKki|nmh;k2AshbL`L!O#-1?)ur(S zE9w8@-b``y&PGd{@HDaVw!pLt5}_h0A!-W=GGWqBkfbUm9^gGS(jh=3MBiF>rNM879fQhVX!Yv~8`ocL?K@+Y-qSA4*1z^WLAWRVe7lrXO zIpNfB^Prlz<)4XrClimwz7h=(@bpO33N-9T$4MEe9GEFOL2E$q)f2UnRHRQY}_O2*ukn)xmxPq-~|+ZM~*m2hIlTafKec=z5Y8G8iX z-p`esX6xsdzxWE%*p8ylPXhW1Q_fhdwf!6^@!h7BE*(HGgJ!brRp9o_H_P(i?H5go zYWsJYAy0;%y2VJ02ux>~U+tc(@A9U2biAHZu2Z%pBydi5_9y|%>F9J9of!$17-Pql zu^BmJVvPlWKRIPxc8FBiGfYdwId(aaK%8fHni5!Vnv{svOSqHUeisW*^m&p!Nzq3T z!|OIb9r&rj5y21oGXC&Zpd>NRf-AkuoSshUvCgj?uyEo_Bc8Gg;V6BZxZvitGa-D^ zZTojIdkXKuZuN^T&t%!tc+zXYk{}^Rk_RIOr$KgVsXlXhE@Zdd(29jED15MsPULBY zo2X}+Ewwl>A(4>BI(I7J5b=b9h50$sg#sF-jfsIsfWCeh6`C=kdRagT2yW|&4mkQ` znW%Mg6H%_zZzMPM6|8wy(w9jB>e$$v2s|;R*E4Z=bo7hT+74x5kw{9+x+i*0R#1w8 z*nkIlI80nT&_xnj3CtuZNn2-2xU&-~14;_g#FZ{O(of23AZh&Q4roasLLMXqC@OkV zlxo**6p58a(KLIyH3UZ&R`{r#{Ha{_d3Ml`1zQxp))b$~6xdZwq=2xn8iv~X*l7Neldl^WKkTBWIv1S@F?k&L^R+4N~qXmg?3Ao z1Y{_LcDZT+tSN;S3@4Qw9~!XQ75SK6S~we`F!)j|Es++ygl`l%m@J&?Nz=$zFZUXY zRMRh3KIuMWCtroynbo$e>Ve!8W|I)Y1?W@$#2v%=PqBJZuax?j%Oo|c$qdpm4Mm3? z;VhO255{n4de?Bl;f&SOa%DxQZNT7YFmWI=Qa&yPGa$kpX*n1%L$5~;m_;_i;d<@} z0%;CM&@f^gPo@}-#){Q@DLfX&GVCtlfKdjLnRmCnTF8-x2Aey&KNW5@nu;noF1OU;WP{b z>lzGAb;au828Tm%gcB*~B0QGq$&7_!BHYsglN+c!g~gy#(y^BfUY|D>@v(+_kM7g} zBQ;bJ4uit6tCzx?>Tz&=le0i9CR%bJ7UVjbj{!H`_waXg4sYVFWVj~cQLa= zN+O)oy%LB;x}<?~N#D1VDHQWW5`9a-&NtnpM^QKA)F@EHlfc$k=x*1y2+w8wB}hdU>2BLUWqLJ8M7@MFMw(S7 zjs&%|M9CNX`f#;KOXdk7lfH?-6B;4mo9cUolUz0`i4GRGP^WYW2@0hjRS5b_xKfGf z13{#*gkdLJ*IpYUbfG}PZJREL+@~MkxgA zXz|t*e>6W0OgW225oA(mU&$8Eir3k7g0wjNqDg;pfoNz`A=D&diZUPsiK49NilB(t zeofN~4d6HN^Rg&M1BH;ZBb55{R%?nP44G-f*O_cYNh+e0+u}2lz7!G=zkr7OK=Gjb z#INH=`x~fquq!CLMzI2Dx+EaS$z?4#l*a@8PE2x8v@=2CNsO#fdO{SXM!C^vL?)z4 zfLvBKss`}zBY_n)it8y+gqDcn)T&fuUz4{W90y=_vzq>IJp_75UI!S;I zH?|KaA5B<6N|TUKc~nlvJz$A1RCb5cT5+HwDNH!wE}<53O5|V|sPN)Yq9l33O{~d> zZnkybghdsg4TP~i*6URxSf63SX8caMtPLiE7ZSph=SiMo8Y+48-SCb~|aauWfSe}V7wLWIrnY}JK>zDAa z6Kiin8RVqdJTUBHEI1IBU2ZfQawpEUf`1t}$Sq8=_nF>#XXNgxDtqN2MV~VdXCcjH zbFFo_sfi3M)Gb+hY~02^XVF81cg`6(vI-|-rD#qCB$&x&9xp*r$LS}II9(UHT-oUoY@5y&EgF@SVCq!lSg*IHl0Iz-@VY@CK#1IVtskZIRiXEswuI?Ajnz-LlMJu`tO2Z6 zq|;jHO1y>|U|NF3NSG%#QYA~-tez;vvGPvkO5+qnIA#NXNzzC?qwbic{|7l^Es3~E zo-=Ae1}HIIPfT2RbLz!@Mx-HKO_Vu$Tn>bqCVVxGEa}J!@6)-^qQ3}fuY|X%QVAOr z7p_pOp*&h=n2BB3sv+tC{*WRigH@;LBBcAIMx3I8C4{TVq8d4{MG#l7YKG9#ROqY$ z^nzPLs>w8rQjm%kW(}&TQ9B4BEUkh#;}rMQ$!gnne9`xhkd{tk6?BdleIbfQv{2Lt zzNaSaF2N2vR_h{6C6Ue;aXY0%BTIG-Kf^|6XGtc`Eru3jVu;Ft!8C>gsW5VbuMm48 z*qclK34+doIt`}Xt#-)inw)b~F)lu5rYSUJxP=ernLJhb;7Gbu;y7c3Wbx$VoOCs; z5k*UfW(POdIh{nz?TophKNgLP(~8G-I5F74c$#DHMi( zf~fh1Fq~n#&zyd#E>l**`4 z@9*)xn3kTSb>nI}!&rwYPAN)+>C>+*8v9vDFm>v-sqy&KHLxA-pxiKZExFo_U%ozN3_qpr%BpGm&%Zo%9Wklmy$$yk+>xlJ$-}(_#p1I;kTk zcf{g=FzCu@WNF>0)xK##XTn+=uPHf%Z%Lhrv`#IJ@vS<``dlU0x%1WBvfM)(PEGzZ z5o_qqTu@(6)H)M6pEQkBZ#czrM*9V+WdAqV9DNd^Z`^%K`gmsZc&~prpX5^?B}2dD zIHGW?MW%Be$0j{Ka|DdfFbAuKO|$@$^k|2PI;YlPw+K2-$+p{K(A@cFM^@U_bC2xl zt*z>(?y=jk85l4SuU0ulj7cnxnS&V~&s6 zOYEYG0VAEyv%|X4;hU_6l+pZZo;%w$RhePl;2nB@#t`Lu?3U>8H5qRzoAiZ61+TiF zP(Ic98ttHGzGD>sjy^IkbByD9{?PVwO0pEk{pQcg&bu+|CC3Ky7u!eI^lNr>m@ifo zMYFGWp2dE%>q4{t7jQ7(YZVvo>i?dzk?r1o>5&0zo$JiKWtZpYEOx$U9^I~&cnvkb zE=wO@?(?Wu*9}=SX`yeM%8j#%u8a(PQ2mAS<;axPgKkp)5-VZXY|S0%8f;9S|FvDY z@3~5qYqw87GI)(^QC(%xH*$yE?OLVW5xMdFp(U=@jl~@^^gKsKc5InGvplaY;|k?_ z(QnQfc7Mh^*KphJMehg=f3JU$jr}n@9w_#dj~k{n zdII}A;f-hO-|~Lp8PBiA6_yLZSU6?g{ z<0C~YJ?CAJwPM`Av!Ao`KFVs__{^^V*#A$fvko}SHEZ^qe`~)lI$oat^lPKP*l+r{ z*YxMMj&AQ4a{Q&^SL?p?NWZ5YA4S(c_T}<^?Hdo5y%;GPnC%_!W*Zk3{WklG)`5}D z6~)W4?-*ZLv~|J-W3zu$f3EqPQ5PJ{eyRQ<{db-V*JK~4*UjyFzB0T2+4WP3UfFb! zzyH6C|9bTI(TjfHpSRxZ{llV*@9+QQ___1nD7(by|9b0U^R1DW92k)4Z1A=p9P{%5 z7dsDydUi^IX7o; z{Z{ScbtSLo7_F}qeNuDzw{z}jeY5Cb$rWGCd8qZ>qC-cnct2-z{eJD}y0H)C>>VFh z*nGXzo4+Zu-8oAC#5-Bdjk(@1e&Ss)!C&XOf85pHM<<+T^eY*6$otZSuk7u=XZ+*d z{p#2j@A3)P_zFW;8G}kD{M|Qs)3xn`cTN0>Z-F|aGLKKX%J+zRYx@Xo(#O6wbzx<} z`qGDd9h;WMwDQSg2M(Xm6!=2NJVe)+WQ+$oy} zH&0^Ip2%I$;5R1E`I?dYL)WPGt6u%u>$&E{3#zA%`Im*cZ#R@E*Q~fUdvJEc#JXww zul?!Z3npIOer?(GO9y{_bGdQd%IVt%FL712lpncn*5IE^ysiC)Dc2nw+%|Ec@1_-B z|MuYbH!m?}>@F`D;+?pxa^|S=Cx?7x^LMLf&H2XIA?2G_R9CJ3#+&lSSpBegW!$);Bvu1pC=+21;+LzYMhz&is zj1}|c6_fIYZSm9vAFKFv-ep_7vCx{zsd+an%WGe@zH(dMeOm%b*qm9G_i)C!zHe=s zxg&3VMzM0gd2?Cb8yREv{@ePSf0uW7nZ6^kX4aHp!?#Rs`QBr*whp^=OIhXe<=-4X ztbAFy@4=dHZWwk?#*DQqW>sA>?EA}ZF@89?YR#~xm(7hmJnEKnhV7Vick!d_mPd#E zZOfA8AHOzx(D3X@q4t*5v%|yBopgWTr!}*W44;(oz_iCF+&Xvo%w<2Uej@wUUBhcL zTIyDAzpZTek1|%)t%}_C;_&CTJXO7V^6g(5{$G=xoAxBT{YS$;T=qiXsb}VRMtGLB z?pRwfXTgZGmi@Z!>Fhaw8gWI&j=(c(?wB;9JY(nD=dQiu$q{#Eyczgq$J`+!zP)94 z^RL2lYeqai>Am*n^XImY*syGG@%lCMCXCoQ=|JU%l6g;z=*T!)_u{7cnfablXG?7I z{M+)i#>|$DTj#%&Kc+ONd6Ql}D*u|c!PT4BRX60{;vQkNonQUu{H1Pf@75J}UY@_a z?TanHW_SJ||L3LW8^4)-XGealu{ief)&-OEcQ#&9{JW70ew_cIyQF1X&4T>}jz(ke z_U#L=EEw8YTKr$y!iNjaFP&2P%It+773gi#s$X4mmtHX4eO=vucia^zxYd0__0IF} zdaIzOv7+*|S$B^vh`7I5{D&2HFE040`_{dG+a_=DOX{#bXM;R{H(szdp8jQQ=JYLv?RQ7yq)by6ut5cUCO^q_EE2QoJX8 zZ*gJ7{n*}j7u`Fj@X@xFE$>y_`$XY?l&&s*f5N?Q6+U13)Y=b5-Z!-HrP8O1KVXKUjO!prGpUz+Mxc~OH*Xs^=mi)$lm-|oc2OeJ1;a^(1%Xe_p(n0=4 z_n(b}&n&&j|J~Bvm46?z^g90!8sDz``?{rf`+r=zXWF5nrOW+4YkRNw(8{H2{ZG2z z-+MT3>2Lkdx<6cdIJ9(+|M|v`rXBvER`G9iceEcVs~zs&>i&4zk=3=u{@*t4iyc*K zOZ~67Kk*$cuf4(ln)}mfM_1O)^S{w{pzdf#ZJocp^kDO`F}2_G|F!Y&Eyotsw)o#I zJ=A<`P3;>02W^L|kL{^_!QbINQXJ2#eaXMiePnNZLhY;mPuh+)$LG|(=|AW`7Kle` z-}WDJA8U!PuKmz|xGk>4x7P0SA9cs4#b2vE;6LV$H^=wX9`?uG@mPF+?NNWcG~OOR zSbOZV>sHB>B?1xwiGV~vA|Mfv2uK7Z0ulj9i#Yn^pSa)V;tA>hqj+nlBGEAH-A=k-i=u= zIX0NT*gm?ZU$djbe6gY^nti?VEcTmS7n=RQ;GE80tGIYq|M#4YZ1?s{j|^DrTxaer zyF5QMxWpN2aVEbd&m* zSP8pkYwk$bU}N(9ukFfx&sC~iyM6kR!E0QL>MD!AkvrsW*DB?X$c^U@EpfeWEbf@0 z=Q%R6W6SiJ<#}xxS18|$esj*S`!nVlk9N%F!>46L>waEz`|=S(GIqp%$>%;c;*V}e ztktZ3CjU|Qg|Y2y;iiI_?pd)n^m|?{Jlp;Kx;^vn-BtLmdyBC@y5s}@YWD#L+s+Sa zb3Ny5?9W0hQ0yrmH%x2v1onBt8_(9i<^94lo?neCF8faIS$BKh4PIUm$s2h|=CF-d z&VL|(>CbH)-QF+c_)EvH)_v)beos3-imrd`%jNyrHy$o~F;X-z z+dJOPHZCgqZT1zd10$O&ikD^IF}|>9>x2u&X8)-ET=O@hE;yL|QvF5x?>rZ-$v#l8 zo7?w%Wp@9w>!%dGvgsm!|9=_(_2}=T7yZ6JZ@t<3hea3P-~Y++bLYQNc8Ssd_14Aa zTO%(yFd);};B7xR=H~-0c1Fr}Z@qNJfSa8^ioCPpvVjA>+xj!}y;+xS92w#JgaEzs__2xU0R7PB_o# zS2FI9_oWG6+1r25_{Y8b)v+z!NaL%Sj zVxw}e`qIGfZ(6CG_t;fC25t!bqIh)CKQA2k&V=>TzPxVAkU=?{wp3qGGG*PMG3xfZ zub5NI2UVzlth+>?dT>x!-CcL-`m291=&4PAt1KCF%|(NDPB>^>vF4gr1|4f~_>7Td z^K;MM+^>E7@@d(*Q#KE7p2Vg-k-MP5Z%m%^H6!*%2mY<@?%ck;hJGxXVpkLqe> z&G_ojof8kVFRhso8+vdVE9T29Cgly=;;9QhR`Kh+%eHu9p*59L^KMv{*S>6h<+i;0 zwgi;0IkPP9;f!;A-`X^DN8b93VG=CZsuGRExvxAiywF7NO%eMe-?tSQ5WZ<*Zk zy~k#49d_xKvdZPlzd3$b`Lc4~gEimWFzlX;8EaR}s=8#@_m|yb{BUyBnqf~bn;Uz0 z)Gg->+cD|x;z!vnj}H6WmL<(Uer@)k;n|Zy?JcWkhlig#>Hfe^Yi1uAJ}KjYX^&61 zb?)$)%YIn>ME0$_hSz4a)UDipTiNg*Wvr}Q6}j!j;m>V(s(SV0+rKpYza~95?MZg~ zkA{D^?1jKn&&=_R@GNWHv9@B)f)Qse`*q#Z*>nCh;);wNfoIm-F=<43#?H0RU3dj{I0-aqQ)-3nu69Y`moScOw`4 zIR8U;Nz1mH1^WvejmF;X+ZSG0Fto9>_`kG;4;P$YI;HZJ*$Y1^(A%a}zq;lwyfVkn{$*ix z+as0ltXTX>VV%3Bcu)A=;=+jgvAypux_3_Dqiri&-mAFxiNgOVU0wYCgnQpAe7^Ll zwI7VUZ)o95rB4@s$nLwk@b_)c)qVKdef5RA-M`xLx0Uz(vT(2a1>Z*t?|ZNCXq#Ew zQBsrd&vLgKds)pC{}8-7_L?;{{y^JH#UC%KS>+#H`m(aGsAi{sOylo%?Ax`(=^tOZ zqi+BGOGf*zcE1|zir$XJF3=}`d@K>;yYSidxQTq_ovg2uB@Hsf1~X{-O-NPI)8iV!RBLQ zYQN|IYvbQrjxDNf@xNPosQK8M+BNk1ZUWf6#p_5RcTp?LXu`))HS``=S4ETU?26t=;E8>W)u~zgBy|f6N_kj_;{G z?2o(SvH1SlqyBhlygh!f_SpYeFH2@55s(N-1SA3y0f~S_Kq4R!kO)WwBmxoviGV~v zA|Mfv2uK7Z0uljP@!ocP+w3X7 zzJ}X!^<mX7Uze2sPQW5+w0$-3az zSNF-%?X7=b^GmDGPVJz#`E`xo*Zj{ofA`gQeCTP`*BO`pA6%ZU?dtdn>E5Sn{I2e< z_0dzf-F|JeYy8jJZRcy7DE(PKtkziH{))cfP}$I;7N(R{Acf#vi#Uvv(W6h^wfJOV z@K*|-WUSj1z;V}o-K48=hbtfvxzqbF3mYl&z_{|hh~@t*^MN$=Z94<+seCOxF^zW|edf1Zh_ hNfv%i`$?2=yNcRbyeP)%iX3cAek*+Y+Z-n{{~x+G;X?ob literal 341436 zcmeFaf2bu%cIOwFH*fX5y|4B1ik`KEu^e|9P%~Pgnr+GY;U`sO3xfpGxUeZ<4_bG( zwUikUXc6o(c(=Qw?z_+2_lNO)HVmF+VDhbZmf2#g-WB?58TI6yLP84-{m;VuA=oKN z;1MgZ3PwHlw#s_`d{1QFU+=yjU0s^dk3R2ZM4S^RPMkOq85zGa@7dWV-2d#IyEjkW zGv0mtv=={K{p8@|uMYq3kAJmr<^Oi`_uM--7w(>SSN*+s@8EYnes6H^hsVzSoy`5G zAOF3xvlqv%|6=8e7qiU$omZjX<2vP9aQ&WhFP{F#zyIP_9U$p9|CT~%lHGpA<^TKt zDeMD6MtWU;ouPO-h5u(yM@*7ZxWCbVn)1%cD$h|qBwWV=p=Ij)>!f+QJh2tm`A=8+ zhte*$Ky!KYU(wk0F0%eBTE^=XYj7Q=zg9f?cf7RmJFbB#&}-EQ-!XOmx{u?&V}}1Q z;}0$H7tjKs)v5Da^y97nq4(Fr0q|J#~*o7te9>vsN@4t@*YMisBi zxt)dJsjFCBoom6T>_n57+@~yhQ(WOjn*^s!yDLQn^VTN?iT*)c4Xt$*ZqB7Y!Q5OK zUX|z#lha>vY-^EHEEz8hoUhD))b+9tOrLE5((!!SZLY9Q_{)R0#kTS6`T5S4xucb< z8~dIw8@0SERgHOegSU*b=%RWB)S-6Q?Qv1M-O`rSY8kpT&^Vt@OJA1RyqV5R zjH99qX0wxd73SCNeJ;)7^2kvf#TcE7vBwpoH$y0R5=*?xQ$NL6va&zrh$_yZ7r~Q5 zoTmd9_A8#dZtn7Vf$^uVNmiec>1;w{T%3IH?60cGVodv-_vb}H2Q}*D%3Lim1UH*k z`Qn3XaFv8OaL3La%jl&$>8wtT)HH%|dr8F% z?q?tU?gJWx!G7^K+-KdV{`?F5iBGtLp1XD6+;6+Pcb#ovCk@>Gw?_l_>g}I$&ynY= z?mu%wr}@@R)8JM2lg-cCbMh&e;i{gQekdxN`)}T_TAzNZM;w3@T0ZkAlj&V6Kkz=^m^VzS`YrL%3^q3Zx=SuVa#Kpuy zWFSfDCLoEn>E;Xsb0m)W^F)o2Bp5Q6PyQHBG#jNuS6EzQcLdFYd5hCTE(hBUeo0nn zg)PYz$X2cfKYfP9Eo4Cft#xP&KN6?zIV8EYVxrX$m~NG|dROxlvQb9*;2s$$*; zY*{DlPCA7=L6d>2jlr*3OLah;!6jv{T9!WLX`#liJ97HdD)PbM@6Vl^MPyfWxA{jRn`XP;!}U}f#Hz6X@fm9IP{}<6x%y7#>05j zj!-O<%2lD~DB@wtR($aTXO94uGlM(v%*u}_(jjFsv2hrCqu#LBtn%o&a-_l9*!4!` zVNw8npBR=&10pEdU{Daqv%vivTrtPXvay=q8mK08gJjw90DU=IxuaRF@n0HLeu5CP zV%Ff#vf?DK@(^4-4=011Ixce}mXK*OZ4hun4qq{BjPL;+**~~QJV)=S_b|m6hwBVa7(SgH4ajOR?)U3nL`Xkn1TDn+{}kZecVBqz%U}8OS0rlh?6FM;Ce2%q4<6k=`$PUN%i@JU{G&g- zEdF|Nb^XF?bUxPR_S|Dj)N0`VkiW~|>1ePID3`PSgF6QYFTecSYqxr@-Ku(D``YuD z&PrZ>`PR*|TaRvDrs4JC&JG;&W56`HeY>h!4W57g`O75SzJ2TF{;hU4dl}=Eakb{c z^oh)slkaZ-m=Zz1)nseQUv>S&=blOQ#J9%rgu%DI@A>aj(zBQMUtSk+XaC^r@%_ik z8S3M`$JR*B_J8pgpWT1&(iXZd=hAQ%iS9Ibblcrt=SBn9+;7%2*xOV5BZmg=y+`Z3 zPaH0k^3I(z_tmfd*`M8^Dc-u}Hp=$wdk3kF!1p6Ra`x!aS(>#!a*sCno>&7C26u3< zzn|wn^;36fiZ^dwrUAFie$wE+yZ;n4$d`(TLi2?XLLT(Ubu-`Ybn%cbc>ueCcaT&e`$TtlfF1&(bojZHEx~xakd`wloZzao zaAgBVAp;l7K~JH`LyEx}533TtbVsmE`^UI3o8~dA2?=!nw$LjGObm(nB%4>W@gR>H zW@8v%)ru)FufP+MyAFfQm53WeM(hro4>KQz9@`FmPMJvPM>E+nFpA6veK+;#nKl11tx@;A$-J;lh*<`c5Oy3>%$40TUYEzeKc%Al+~mP0wi^@yoq z&k5)JNf(7jmZ#?3!c)wEEl~!XP{b9@Ww>pz5SC!AWr?}G=T7@$m$~4w{v!HVxZx=! z?`4EkvpMzvXXu}~I&kqfB_>_O7?&H;8e&B`TAchdi%A?t-t91DjJ>&9e>THZvux&? zY)WDbmrbC}sM#s4Wso(LaAs0Aq-Jrb8kx=AQIQR@T722GD$5z6n9XY?p{-3UDJ^UZ zXkfmvx*GIz8cI2GqoOxpA|FCI_Px;e!})NKjgP{xKP+1dCKdWJjw{RQaG-jr^UP;? zZ!qd*Wj|y#zsP;xGL)4QB)moB&8Kw?jL>`@dd0Z!JoiODC@?;Qw2@;mgUV2^KwS^4 zHL(3w%&Be9Uyz6#&9y6D(4D|c%Y|cFOw~F9OyfG>t9=NH3C^s;L>a-?XISWZH8Bk} z&TP$~3^V*P;z$?;77i$h0a+Q)2INOW-nTQ&;&Ghj2su1tN|w!O4U=q~oiLY+i}|om z58-I@ht@8l8JFAP-8^K6NSTysLD9LR{P2i2Lebm^daJaHZTU#q!f;Sv zMORJ>^}%`GFUx8WXa;f0qRM#U#>0Htr&0&r55-v_lFF=I%}s|%!%=W$MK~*|gh5di zp$uNt#-=qrKD<-~@d-LjI-GQi%pIQev#g>2AvbOXx0p4hDsJN81D`BLPL^h3ZGchI z&6dywwi_>y;G7>Hn?V+H?u{&SqlRR}hl(9K2mu}e2=OUg&dSl@;IJCR!GINn*^ntA zNtpQ$%*fSzFp8!6gh0ocpps_}{-8JO`NKi4D&vS5O|KlB=GLx;*sX@InpZx~dsT(Z z7)b%FNnkYqBhISQpY|xqpz(`Sww2?_!fQrfVm+#)Utwna7)WgpD;l0O#meQ_#bMPO zoq8mcM@4n4jp>s`dFZOh8V30-e1!)5MR*cKrCyjynVSzWm=__d>PhJqlMsg7bw@=3 zF=HV^b--Vffg6MqtYVWlLpi44oab7&(BrhiV&X#oconvC1PQj>X8YD*MMwq4eW)9xL5rJR`)NEcL!DowOZYWZW)chtc8&}4a zEe;ireqL@1ffQUo)tk-~@8|u|Aq{=dXrW?4FEzFJvg}!Wc_Q^X&`lNdg!Kk}oAZ=M zJ{(fAigqLYdZ8vobc02j6IF{YwS4hJ?|Nmdnv+n~Wn5H98Z4qr>8b^jc{LD%Ct*<4 zk~AN!Zst^j2pJ%uTvROnxy5`m@{=l#sBzjsoW(fm&5p>S*Hi8bN{hu3#8$$r7-UCf z)(hNnO`Xk$m7mYiu37kU(q!e_=RBygQBWszL{HrU@skuB&V4ovZdke5qH5?;NjW3C zKwIWHavU0;!3ql+oMg-XnD|zLDAz_`$sG(eIaLnJFb#?!_s5~<)4;`KvNI(eJzUbm z8a2(sXH{#rRXb=cWCBwuOoE!AHWG0ef!Ojk17iMaW={B?G7skrm-WZFBp+Oe^{8Sv zR=C4iJa2e3gWI@s1}#$o%7L}7tUUH;Gt8JyoL~v;({tWq zQc`%dk+MLlc?)hkNb_y9m6CWb9?ic6UVoexN3{3gvzhC8m%G{~HsWw&rC_c__D8;f zU@Zv28DTWO1oEOkJ_zk=+QnpYG^eKXNq*uH6o{dB zL>>(AXH25{Lr%T->wb|Ba~{gYbToHU2JZp6uAi*n`kHK6$x4(KpdB-Ck4wGITs4c# zH7C=>+)pNls#;=B+>zRG?jz0P_#`h>!&tx#3$id!b2zRXGo6YY5NFNUm@Fe@)^3K- zG*X(SJDLm~qMMusM=kqN$O}ry+<_X%13GIS5}J`a8E|5f0tJthJWd!-&ee8l0`qd( zD_C3@cxA6a$lPjuHkzySfoUT8T@3IDYO*!3CP6)#Uo=id4HPhyNo_DO5>R>I2#F)5 zW>1(|zbE$E5Ieh+x(l5~kJPYZWy3J=r~tJYp;vLpEf<6{&6bO^g0M{sxbcV=2qf08l6;6H}vE}6Y&_g^NdG&zfn3rZ_BA~mI8YhR-<0C0Dg<;Gc z9X;g8X5R3vK}=yQ9zpN26U?j0;e0?(LU>wa8kHK&6(8U~ zIl1(3GC$9B(xw#H1^^flqsl<$RtK_2-xXykqihz(}n_#aW0( zqUKZbTv6G1Dg~oof#3tzvSd;EIy5;Tab{e~n_G{M=gx?g4YQHe)#OsSKU8L5!Z4hSx7HB2y(m-kV_RwJOoxRI6c2mJ;_p5=POllJ)Jt>nN6Rt^-o&dPeJa<=Z^dL$Z6q!Kxordvg z$OzI@nr#{I0Bu7F*SxMG*yHa42upgj&?+OL!Dw=r%`9OoMYD>5QwPz-F1Qw2lA2}c zT!5VdX$YYCNHciGO;LC@IF#3c?*iNY096iq6Ua(^SYbmOe2S8Qp~x1LC8NQnuZ0vg z1K@86H5xMzp1~YcEd_HB{KjG>Valbwzf|xB46ZSLJB^ya&!I}cvVnMZ0}C#kJ7Ox} z3&v#wy|}~5G~14zC3V91o&kc|2@^__;RoYZmdCeOeF`?@q%cbkiDoI<1ymJ8Do$<4 zqWJURYiKOpV!DE|B!=nGq^Z0fQ;bzi!E8J)8fe51@qsy-V-rdx zHybIdicy)$$==YUQibIO61__23kH&AT8qa4DlEDLtz1|wi=y=b6`@vI!GOi}fig-6q-m!1%hO>TC^X_0x>H5<+ zgR>bDr>(k@_|UKcL0rQLNi{LGxmYB5BWC@5DC2C@4DwULZtC5bCC8(wnLz9SbW(1@ zOnb_wY+4^zMZ=DNHYf`w?8n-UcZHv1Cu|&#-6S|v)2?q@q1>uIj2n+;wYS#C-XfD9 zT0%{GpjGwaB*LB38Yb>2LwCR<6+3Rgm|_e{Ps5ubd{#Dx^U@CoRem~mldAAqks_O6 z;e(YJNmb3=JJnjBTJ*RYVIiOMPHaB(WyTzy{*i6AffV!eJTC%k0+e41b!tbdSlMHY zo3zO?f&%;$Or~ndt)-1)o;P(qtpoG9j@M;DK?s^y8r5QDa3-2iI-lSLpv4M7kLJw( zSW{&|OO8oTBSpc?F;j-Gji+b>KkQ*avoSHJ$>b3Uk*YV}lJ9gp(nw&tV+G7yC#q|% zzKlGIZse6R#^ib|8IGiCTCN;{Yhej2V&Lc`O(_GHRH?Wwff)}Ej8|j71~y&AajKp` zm}@>!Jidxt7fhuy)@2Ce3s?ni2sU6-P)(n^u+hP5=z;43@HR`Q^{PIBng%VO)+C>o zs~e$nQBW~+r*3pa**q$UK}~=M2yS5#&<}}9K;Hoa!6X5H7ib+z>tH=9LpKlAh6M_w zK=%XJ$8#J3&{B$msDaAIjbXMWT2Uc6QM4W;Soej*z|$W{Q@=6--KyqinJm?zgk--5Pa8J~&79R%V*+@29%#G(y*|1fAci|Ll8h zYc=}2?{a?J?043#bafIA4$j@R=M4bmNi>k4E}wq?)=l?;G)R4R!@)X6YXc?@>c{EH z(~c{11!hn@Cy6yqrat(L2)%cafo;#_#Ayb{GrMLwL+p&8LgQit$V4&Yh*N-zGm9LB@?zEUt)=7H1_bg<(&XAh z#Z0-uYY6YiRBKI2T@K<1G3ZVi%bnR%oY426XwX~V1@jRSFv zB{;6dFra4M=a0QBVp)b*PI`HPz`~V>Y#~NJ5e6wTte?>=A}9FJD433aiPGTT3#HpQT zJQ>s{6$?Nip7yi;q#}k#nHwEay+O=w$g#GfS9&b8(h4JvbDy&>OGH5eKrIN9_i9h; z$ZMB-TRZDJ!lt^;Y-r9%Jd#7nl#=zmko8ImKx(=o7K8#EV)q=N#JJ)lRR?D6gp88? zLB=xTbV!HhIFypRk=%g3zHB_NqOveGMXli2s%A}PMv@7ax|N2BHGW>RKToQ7G8s*1 zL!7>*2ziZ++BM$JPULDYsIv}DOqkRP7HlfKzC*AE+l6B?0w$OzFS#L6bGSs8IyGVD z+uU)SA+Xd)2t@d0K|wY^18<|=FU z^?Oy90|$bUusC%=Jxr)waTtylr!*v|wGz#xFutbcba5mnes;VV>&Y{TjrN|Sy=I#G5}3j zy67`|%i&nG5>zg5PqdYQ7H08>ZM^X43iTYY5o~DJ#wj33~lw zA1(gyNbzM;bH@vcvhU?n#UV=J1+@Ujs5vSkepLoVvsO%b*5bVc?DHsG(DtfeF9yVT6p%o#amWpGIts%)&&F<1XH%EeVc}0IS_Erx z!y?ZnheOYcAsNTwJ*_44w3MQvFE0-#9RlIXo zaaMfOQla(A)pq6Noo z281?}JGu>}VU>^-rL{6e)L)0C1XG>?H9=7uGi|fQfdK}M#p0N+=f?)54s}Ti%g{2w zVMO{>&{7V4JL8`q-vta$uU1UP**Hg)OfOscV>hYja%>2LtE8tBZ`o31S#QEJbC+w9 zm|XGhf}x)}9t{$ipHAVZeHNykj!fxBxC7tw`7F-$&dp-N2}sP4T1ToPPX2lJVdlKD z!($Rnd}nPWF!<+2=lE!xYkh{nncKC^#y4#xdf{ zJv&B>Z+O-O&`{&drsBu9MyPU@!3c6FLb*JWIWOjXHl&VKN-xdAtVpg!6z1U+|!|cN!(e6V1SNM!^>D z6!k{KoXVQ;D%R9DWIpq7F##g1*}SX6jI+B``>5PlzzJJ0L2n8Z> z?3@MqL{(h^(%7C_0M!-86yEoBkg~`lHl`mKbsTKDp&TjXPr2kvw5|YiAhZpWOb^jx zwaeT!DVCA}p}m^SCSn%JjlzgsZ6?@9%Si0F&$EU31lD|&7kp;(PqkAJ#cu%-G;#0M zh~4~1orue{Tml5H3<=s{&A^J2Btm}hr}=z37N6$Ec21W&%2e>MDXUXUkHaT)6f~hi zHy}#WqoK!*411%C>KcLz5Cl0_JWPFIJZ24nn<7rR_{#BY^@z0|HDbs@{P-SUqP<#> zkz|GC<4nO87WJ4$aH51>hlLNvr=f9^0B$LqPO(eoC|WaP$j-QAG#tBLT}0Xd`?@EA zctAM_Qf0@QsO8x*jqGXfOn6mkmW>sqmo8vpVxdab z%bLUr5m*hRps;1g0$V6F&R9Hr#=SIAX`Wf)DV1=5_?3UJ#Zx+WgP40JK`amD01wSw z`L5k->*#HC^0ce)=#kDxI(O^V&0E6HUU#prnA+V8Tk6+xeur~{_H2*+Csy|Fb`n;p zwnMfNuuU}l?p^JSr1)DmZ{9rPyy)3W?xhuTm!o*LG?cX}MwW%(cc2sObqxDtZy9fJcH$MOQ&vTOXfBwWfSLuDJh3INbrzL(+4eI3=c$Qz}u`l$ncc)%vx%=1c0&4H> zw<@PyzxGQ$HBH!AgP_Up@J*8T33aojc$H`gXLk-u7wZrCi%Ryu)svG;lAwYiO{U z+XWiHbpO#x*SFq!v;Px6vBFaml%&<(-J?hM@1ywHyT9@7ZzyJ|1i3@32nH>*Tti+lm|x|vPXiNmCC;!gksS>Jz|@J36Bq!ub!4`;KN+HB=D{8c$9HA zQV%qZDT|f^UyAH{9vk`nI~7UB=aPByPhgfHTU4;We(SH2q{W4>B3LNL@C@&layFw? zIZL2-Sb=6YR9t60is%4c*XWpuxv0LK#E5=-dj9#x-{}( zr-na4ZT^6iY^fN9eGrbjo?2K&(d?@xj;l}ds6(R-^u#STisIR{^B9;CSf(~I&Kt!t z7?w|~P&~rm2sFJz4P{srwVHQTO$4CSx6IlYz?CP$cbi%2XT@kC`jlv*Nb3#=&l^P{_W($Wym~A%Q@!`b{Cu z3h>8l3Q1WcV35%)+u%8`r}vZVii5*MH5?+a8_82=9z#Mq;&k-XE8R3qPw^Nj2ek+T zY%-V)r)d<-CT}YLw3t16op)xDHbK4%^|Q$i*NvR3=HW zvZ^xm#xz#Mf|T7$5&9bz6?}W!L)d_9ru$8QHnz`^kRc;9E^s%_%X&UV0#;0*csFn6 z9oo@T;Uz@o@T$GW@zN#ryAH;%PC$DW*}RUL;xilX$4EQm;t!RhK560-meS2EMzIEU z>*GZ(6<7i6A-*zq5o`tIa1KVXa=oDH(L*kTNS()IITxV=UNT!ip#GMyR*9mn;vz>K z!2KF?fI-(b1aeR=K*m1{P)x^Yfn4eV4JOIMZMGgFB}k?t;iV7|zQZkik@EOo4zEN#1PAK^|xJ#L6B*1@m9oH`53huR%pge3- zbbiP5unVmGudae?q2f=z>(7L0RDQt6Gxr`p``%i#`;$NUGmBrRr7&aYJI=lRHe>q- z$$x+?mxf({CV9)=`>Ku zuht;R=-wK2ueyGt(sB~kJ-6~}_A(Qv-2tE%e$Dn(_srYReRtFQgWfZHga5T*!|9p5 zz1Xy=5>%3{*XZF*a zrui2)+`svqzm>beKPkT_8~ryw`^LlKzuMbMVdw=n_%7Bp+BmAiAG?A_iDgP$a6)lx z6i>hlTY*oMDO~NswZ-ii|8x@Dw6XO!yn?(uPX!{qWoxlxb7N9ugFhjCi5|P|X(nQj zvxJaBTUOcK11%G6Jn^=Yu9%jgNNCojnYHBgGOK8{clM{Ut;%Q2o7xELD4Tf)<8E+6 zJCKIjR(z&w4XPLj;@FauEvj zgKI#&V{<4%JI;;{JqZGF3=HRJ&vcJDFFyeLsJH^(u*aA^rptsd!yZ%a7SO7WS$=NCYbnwt>Ej8doXo|kZG`NhHpJ1k zEg~ok)aKHj`4aXtg{73AVJYw-$HI+A>pt8Y9INCQ-?6L*$4-c%YBy~Sa#}~MRfC)K zmZiX4@iGn*E$00D?=CuA+vd^vW(-bHMrS}rDLb8BOK zzKG1I&Nd-l$Y?WpLykVUtU*u+rh<6Zp?josQSAEIvVL1^iR*od6Hffq1QFP2$Dc*vpgWl z^dzIIPY^EL4v;ut+8Fx?E22pqi7SEc0$5n#z~u=STnPfSjHWCFFdvsfDx7rI<+&zY zO;&U3*XmK)Q+AE05~@?wCO}mH2tNJ<%NQFrgI9Z{kM>n+SwX($ z^KZYs9)FpnCykQ^x0Y3%G*C;B25KYH;Jj?s5BB@dak#SeSx0zA>j&HG3f*4(((m|r zXg&MmbPaWxKSP*T(uw&kNpxC2U>!m03D2eVg#Mo0GaRG28qV&#{Ia;tUVf7jTn4PE za1>?NFSKC!jWUBQ@pzd?i@WcheO7@-w`;>McF=&MDED_TT%f`3Ug=zVy+8W{fAj-? zq+iQ<{CIN@eUte|ex%<&xPL}{Z$tM6ZAVWwxTXSZHr%@T!XLiyhx)agrny=JcWn(i zeLCN(*9O#;WKkh6hcM@^Viw2z$>rIS{w!AfoKe$|g#Q+*_R(yznvS~ZNAi)J4M?bm zR@l=qJ(3uK+ib8b=7F=aX~5}Q_2AWt@u{263C>B&g#s-UT|n&9C8B^nd=mI0;!1#Z z<8f^Xmb(IBjHiA|9I{MuVzZ13n`(L}-N=aDMuus=Kw6-DjTA%6 z25KsQS3RI+&_;m`7{n=tNlgyRMA@lPb_K6pYhjIyWCLsW#1Z3Rq~7(X zHI6y2N;g=m zG|4krk|!;ekVJV#2i!PIkSfb3dLQ9y(57aBEA?sLa}0v;%pHk~dRv#jJJ(iRzQ6

q#T4aHrfNADAZD%@auzMgLyf@+7(ZV5MWG*Bt>qxnmA}YRSB!Op zB#manBPxxL#Pcq#XmKH7m7CDM1eS&;8)rI#p(*D`7^uwU{Zva!r5iEtHGUM1DoQ~^ z>_hX$m*YnM^Yldr+QEpQ$vfpu-4WR;W`uTP;%C@eKXiUnfScXiye+QGoFI2o0vR@? zuGop=l^v@4$n~?(a&#Ve;uZvJC$16;9|GVSs#;CB6gB-rpb$#opAFudzOUg4P#qxo^>1F6Z8T zSF^h{xMbV-)1T(taGH^5D)#70zsL_;e$w54?>778cif{}@0fr8wXeA|W^J0h-LIc@ z_g^p#w(?nKS=XB}w{O38v)Mp1T>X~m{{Gi&kNur@-h8vmT~)03U;M?hN7{!cAAXbe=B<7Ask1xok6t)9 zc<*+<{~w0^{wIn2<~s*uz3-mw>+1LU^m03O*ExkPFby6U@$Br4H}qSk`#MVv?Ctea z>ur-TK}G|nlcs@W@$0hgQ|^xY8vJjPQ>VerJ)~Tr!E(+#zWtxKb7q@Mv&a79%8;2c zU!HScSk9T5A*(qtKG|=6j-J5veyR6eHaGr*-u{OF`_R)K{9w;9cRnP3Z_=0~&arnA z+hU#bbo$}Z*_Xa#wSj+*foX7RHUIqVpHnMzs0N#BNuRv)nKf&#zQcT8+Ih;IJIp&d zp|0AXon4}VbEZL^WW;7&*89<5pFfX6$mg2oeLL80O`8u^$<29YObw z{{WpZ81Ix3UAj7^-7d#xH+sAgh9u|)Vh?TDJWWxubwV4i^T=m5Vo{hXu$YLLo)$%& zld=9z6FYQaSv>I#6rII%xo4*7b3b)Oh@p0_cG6zijq$~$R_Z=4ZKs52pY_>bTO!^<+}@^N!g%6GwB~Oe7;tEKK#6qLJ<~I0gF!<(~;E~@4JgFv5u)#1kY^1 zK|CXtQ|*6HOtj<8^kS^pk<(e_yjD?zi&^cwU5Kfd+JbC@=83u+G}&*rT8)L(BR}+k z9BR5|t`=&z$cu~YqIB|7L%`~SAWI%}A1xSN@>}}0w}1eP$738E@hUFl^PY0SQdTgm zbI5U~aM}qS^DQLJFAw<;(h3S2Od9UZ)i|ca@sc8YMI_P$sXfIbEc@jh}g>1=; zywGscqhxL|2uSc} z5oHY;dKj5!!IyXFXP9+aUz;^v%L_ZB657 z6?HvRzcrZi#T@LUr-`0C`en8_x*e}1wkam5aT z)FUiy;U;#gl)#^-U1?d9VTWBPL*q z!q=Se&w%rso0NCHes=cY>kl+w=AG|bH-F_#KH&wqaZ?C!yh zZ#{m@Wc~*}^(mG9;3qH#)2>~+%^UKSv#e?UuZTZCxSj@Xk7Rdw1Nx)CdE>@D@50~s z)*ip)w69m_Ir&cwumu3`=zVxkj&i&(i*;gC)YWPvj;+H$%?c3+fwJl}0 zukBvFnK$1nQu(UiZ+@N;RO^$na6ij^t37n+?v3FtbbmEPky0`zsSFJcGLaZSAOj)UA*QGmw|>tU;LtD)#j^T zeeb;oXZN;q@aw4`9Q?Me$gI=0!w0txZvNBwPvbWIB3v4zZ_i7Evj<VtUtM+1i)N}OH@0CTzi)?! z8&G<=-P1RXtyg$i7*`LnB<=9{Ir zO6^?Kj;llC%pMb1d@a9`F*nSKsp}zzvu0=MyF-);`?BvVT z0~e-zfhh}q~J7p=iI`xnw3hNYR#uMIWrn^wX%SrsRTLPQo-tk;tQ+Fp#ZyXP!HK2`bV2X%oJ4MO62#~^@o9Wt7zNm(LS-;>L z<64kuShL~*G2zRBy%X0P_uTx{gj6y1WSo zT(gtxvO@B08OcLZZJVxOTw z-Asc!%v`pa&7xmxaT6+Hu7GD!{6qZjR&a$^LZQEOBNdfCh z!b`*~aSLxdyjlKe@Ub*gAhJev13o$-YK_9UgWMpga6un(|x&K%~-k| zzQ7yk`}=RVzc$07|x*2w|ZSF+2od%j`K8i_$voD?PeYyT} zE&g@*B;TldbPWyk$*;4s-aFsi`{o`2H3VD5a1WAy-@R#D_uaW`?Wt;V`~RE`csut^ zvK)MU@b#ZR`}OtAE&RF9Y33$t@!s=`k9Y@tH{5yo&h5uH#mS%88^W(8o61)%vVb(u zE^pfDJ#!D(roV6UpZi~SYw%0I^uXSHze)r0O!v?$gn;swp1IL~ji7Fmh5@;U0_PtX4N zZ@;q#_V&TQc_V)#-xm7g2l~C=N1t4$r}P@gCg2gUghC-w-1)nI_hscIO-zGNCJkOY z_zFiJS`7|9_c`fwcAygz%#?rp%xY>W%mP1daXU32v)D3sKJ^S7eD3V)fA(G>*Dp%K(OVIgVT?Va? zuDlRkkR@;Fx9Bpy#n(LFh68>VPNZ@2TIy!pMpTQ)V|%5=)?3*c!}Ws^gJ`I-4W$dq z;xLfhj=lsBm&7S588*2LgPiuO^s;J#&X@`Npq^P$G4@lp4E_|$DGyAMnyT*c2z%k^pK5ZXwW>M)mRq7MngRy*@bj2Fqn^oT~-xc z=LOd#Fm21<_JcJbJT&8mj-g9O>Ek_rz%U@8e1%vH|mb*A@ zvW85Wi8ZEEPXaC)H6@lmGrti6|Dgqh=-y0zW4^f?97f*hREx^>7L%%qRdqP;@e@Xs zb1^cNt$cSASGgV5tvDOqtHwkl$@mp8s9Z2VFhLo%lax)4#J9sGX{D~Cs%l{B;iD7eb&sT!O`05$5GXW2>epgYjxF6N z);HCue->fI(MIp`sPRQ&tQNB<^LP08uu2JHm##<1{&gR?G4<3!>;mo0*Wzm&9m9E_ z+*u5v9q`{QdJCk+h(;BJ7{ife2`=BuTSU2v*GftoV}<2bB@s@PyTM_E3!Jd1?FpwE zE*9A$9Qr0mZbpqZs*}(f(>`0v+SN2k;{FRwW*T%H#!l}AE?DD8gv;Et!_AxC`aPzH z-Hx~LHdW_O+W2vzFXP!0@8q`}ExN|hF?{Hsv%o+2N9Raugn##ISH(MbHOHF39l=-s zudeHLAAi`!GZ{?K{15-rE8q7^AtCuHzN7s1r*AlSHAhN+k?Rj#^ig;DIq;&GlSU(K zzcdAu=x`Hc3FY2fw1H5;*W7CBafEb#Mdn% z@1p$HSlXZ@UIoz@qKi%yB`mst+ks*VL%j1H@d<}<+Q4|$QrA4~hHiu3 zCT!!9xK?0Ad&XhZbjp_Ku2up{Qd6*CW~1j_{)bGIEb)GV(~wZ0XbnH_Ve%zzvifLmFh z@Ch?y=?vT`3t(fc%TF@PGQLf@kiZ4b+ijFIQ!9X9+}Nx`bCqYazfSc{|-;RNV?&;A73Jxs@xhZJ_D*iPxK}EE7=?>1B(upGr0$ ztD_htL0hcJ(lr;h-jfk8#@B{7UWy_^-$IH zRIFxrOGAidh81o^BMgEz>t*ebh@0+qlFe9`_Ug8Y;19b!yEe}7+eUvyq%NLd$JzR8 z_)FsKa0|a5$6t%N+lSL0n67U>Xm@b`QTt8nC*EcF_UnC(9B-Wc2R<`J*{+5c;c6Nk zwe11x{Aq7+o$@}y(%`~#s+)48!L3`=#o3c+z%Jo;?L0yIio4O~bEEC;<=5`jXN%wJ z%P0Q1vSA&|b|9BKkx)`Ugk*Lu-4|QDmfu1ayU-Q>C;pXcL7R=g@C%fX_n|*?=jfMGTE5o{OQ)>0*Wt7!$8dzs)fvg|>x~K}bxuzGcpfPvTDO^Z|xJkbdQ&wGeJD6gkt=(bKMY3<{J5nr3ei^!6plr|o zR+I6BOx$5Zy1M5T=2fx4iaI!N-178^gab>s~ccZRKjCFz31sWH9M^Qb_mBwWaiovq%kk&}i6?(gg$; zocAtohQ%ZVi!V5t*{RiNIdAn*E67Ww=?o@98^;ZUFi9*VVmGiMl58D`PnX;!@EM{Q zg+-J~ucccYwAfEEhO@Q8xoBGI(6LE#q%GH|Kk?aL%XP6QHu2+{FOLArCc`k~{JG|D zuHDD7m>4JQPn{4}PrkH`b3QW394$_vqT;qhrQHp7tMlvW)^1A9Rk#3S(~72c44UNO zhH`#7oz~J|3$nm8Hd~x(8eJ5s3IP8?m~ki3a`m1k**BdJ7PuNNT2_Y7EWal|!MvgG zO}_@^d`DdQS(Z&E$Ss#a^5g(?nJTS2WKY z$r#ZHsH%RSL3>__LGs|T5bkbJ{Q@v2f32=X(vmfP5eqzm9UQv4s6mE_JS3rZ6o0-h+;Uqgj4t`2lzR+B5g1PI}Cp!-pWT*TEDlYTI6y}D%5JF0} z!@cKLv+%9Cx6QfN;vaVLtr+lEQt)9%>HLlp|5?#Gyp2ES^?I6hf0A>!Iso|@!u%W9 znLmgcr|Y+dj3GsN_x0a*4^#L8x6k*Yli-w=UA)3!F}^Urrk6 z#O{L!tCXFDrT?nS8|J|Lo)afOnE{(08x1iHXJ-8N5c>bovopM_bk9E5p1keO;J!+d z=je)Gb^X2mcW+6gnm+*eAM!t>`_Nw|N0hr9lc^gw9cBs zfB%i7LHT_7d>6}C$LtZ(;VXY|hlsTnvx?arT2}1tXrsPaf8}3l{ooQ7qW+{`(DqYr z_QDGne6?za2%Qq%LEa$M^}oD^27D&XG*HqPUbv`@E<5qCk;VH%y1Cu%R2S6El9?X< zI}F)(IRA?tm3oBjhH{I%jc-L?1HG!2l8Ehms%^*#j4*(MlX z@1%Z6{L5p3W=++L8o)Zw8vBRA4=wPa1wOREhZgwI0)K@paM4rn&#j2Zk z4=wPQ#{xT7F0>x>*Bzch6>2{Iou89`=hr2kf+?Shh|?#P5?oj&t(PHhp=ODvfZxKM zJ5M>s@>iR1jm4Df8z3xM{dvn?tF73dw`>?buS}7tpT|_ZK#LvZmQu1+Gz_UQk;G zgZeUgod#{2NHw`upIv+>W4Q^@5kB-g3-n_O^*X`__b-yTP4Di;_tOhpPrFX?HupvJ zZN3lj4=wPa1wOREhZgt_S%7DGRMwsU^whXW;wIf56rnwsfdBNMle{f)2fdqVbpCdd zC&w?6xK8)3CIdc1#7LIogt*>mE;*fCnys5rud9PL{V+;`0`Kaxv3eP@fPG-p zFKHysmWTpV*{yC#0h~+cOef}cxvUQ4D|T3>U(42e2Od8$B~=z73b3j z1aj%3SKt%B>9baVvE>r1DRsJ@%eXv8xfUUd#%8Xpu?EYmHmXhx6H`}b&h`FA?IwMx z&oOJhE1sZsgJXpT5sKdNFjJ?KqcTet5IW4F9dSLTa`+p@DXuOO3f7l5k_}q%Dr+jQ z2r^p5C0Ll-nmq_<->WrxS(mP=m1gP+D|z7y&pBCc>WbPeeBTeaN@xjMNvWN5MEtN8 zZY^KP9$rl?==X`33-jGxI?cYg)qilPIj=p6r*>q&B!-wVKcnM($oUjF3G``iL7!qt z(ZVos39i0x5_^`s*!sd^BFRl}D6DXDiNxyVL~-%T6PnCVYdJLaJOb_UDjaJC?p^>%;=C}}++h1$|l zp21;Ndq;&VA=0Ghe(DQSO_3ZNj-(ad;XhOM|_4x=L z?IFQ*;SOEMyb1`kq^Jws*cP~4@QdbpD~cTX8L?Uk zJPj!>+|2V4eBmur(d8Un=bp6R9^==J2ee_czS_7qn9fo9d+j`&xjW-`-wG-;_8u{tF$y9%F{f=ltSZJuh?ph(SH@ zW8^6!qYCt)Li=?ta34g|H-j>iEyBF$HIQu_Azy&D<=je=@NL&`6QT%m`mv64d_IF` zcc5sM=rl#$QhhE#;1i3p;iz&^&_q3kk5ZIkEkqNE7TE1ro9UvW^@CXYbUdf8E5`$0 zmdC!!&W(=;b-$A~P@o!)ax|kA#EEntH|3}rqM(chkbAJe#SUg%LBqX;p=H>K+5^_* zv-obwW6{%=Kg)wSEYjXv(60t$Ik@h}Hcf*a{6@oE<<^Cs=>qcarMC|F7!B_!@cbFe ze@QV9xhAjNSiSeKd_TcB#i0~4^IsVuNGYu9M87Wl@-Lt5zkc@81M%|_~Y2b@E!j*LC1-T8fTS{^Q5ry7A3#{_3x;(=H4D@-IJfuOC>MVM`j! zKRe$@@{j*<2qJ81Aj&Qc_V&JY4#&ov%HgesTS+?|c6H)>FOtX8+A~ zoW8MtM|Z&TjhXWtoBY?szV>{2Z{wT>YwrpqS?4r3$8p^}H~IKU_p^WfufOt@clKU; z?dGq4bNPAw*Q$5kX&yXYYXkbNICsFC3oR(cqq6w@*^L4XRoR@HQ3v0 z+~cLq^}F=sv7td#HIrs?RnDHiT7z!=1sC{&uV&x+Q>QOKn41OxjfLrNfdD5VK0gfK zz{}NDc=HJw_~gX~`3e^a-x!H#D3t!X$lZS2P2Q$vD}rAI2aY@h$+h6hX^9?6xuR}_ zlGq~ALcLK+i1r~fS9Ibxt*{{|Au6y-56vR-0QO$Sv7cs&n(m~DAzHiKX}$!&VWq&I z@{H!IY5zZa?;j&ca^3kwW@YYHjfU*%!_q4mXDUiwm;*^P`yh!YEt>2|QU~ZCLId0l z1PByn)(5ZPzm^7k0u6JuG1b*VjbNOr^~V7OY+Uvq1cWh~>}sMP^l2Pxs91a(79e_^L8~y!Yb8ix-iZ5gCz@ZbsO^ z&qU)m;uwx*Y$|30Ok$#lmVAX$A*5na%!o|MQQIA@L9HQEY>0-FY-C~d047qy`l-sz zo9rQtL3ds4-^5nGuA(;nhtE2Q$l%}#gw&NwyY|rFo!{iF4mN+mXxTCsIB|vW}GTATiV1pSpT&q zrP=}?LGp*lEKT!cvq_~KBAS(%g%~%CkB;VfE`8Dvn4uH9xi$Gf#w@5l zH>e@O0a&*zV2wa27!iOgfAd@=Ao z=f&hAutOP29y?iYT6(SmB%AnhQRI`UBw&#|G({1bI!I<92UVoXKVeW8$iW4SI)l3h zCv7-WO~&~oMcJ{G$13EEQP~QNAMf!(n)%!*XJIPEBWC5&V?Y-_tFp*9d9{s?Ln2T_ z96`_?Xad7Gm~H3-(W!WW!Uf!^6qqaq!yykv2x~8bW^#zcVWj4W@q_VDt$x6lM~2O0 zTsBgk>qC9yW>fEqDFvneXqwLX%1u09{L)jPDe;D9ArfSe*(o_!&6bo&5X@D2L3hd) z(y|Cb2{PpB9L=pxNGX0QNbNux+W8=AK*BMXak7A&k+}-&O%Z|}AWpQLW-**Md;ls( zATK=6!yFmOWYrRFiY(6?&UDe;?vgK#^A$lx*>zU)UA1L48WiIZ@1+xGC%KcrCWh0Z z%f)iH*X%dDktSMt+!G_QXg{4x{0<}K7uL!*TIS`V+6~&n&*<)Wd5pPj~X&86MdKm}#B6>*}aLl7Z0t%V}DHgE}V>BWIf z!_Xb`6*tZ&Dhi3!Av+4HYR2w}FC<8tSZS9CF05g8j1dMWi+MOottUs?n1muD!OS2( z#*#81TTmruF!mG-n(|TwP~8xZ^IdOQCuL7|$9&?uE-Pe>bd};Gcbr&}FS?IB{K1_Z z4TsZMbM-(jakgEde6e|?;$}{5w@NTAPg5@&L z23eV9s&w*31DA(69GRZrP_xV6GC$-~b6EomZS$}|RDd%Cjwm-Y@W-@C-V0nUBn$~Rt@f8Y+*z4Cka_E#^z{9U8}-*NY+cn|ve)%suji=QGy z)&0rMr^e%_p1OL~ea_u!8sT1i@pN_X-plJ=`=#&RyB80?^onTbL0#Xk!JgZDfCdbf zE;Y@rUACpcXFhZD=G8Yo$Lsb@!?(gtUwqN+G@KD#ZkN7$`SOD_c;QnY^5XsECs(I0 zyzrUNyi`8_{ObDY)h@l(ck{KX{ikkT{jZ*S>MLJy?y<%2L*=F0?x~yO&y<&3`OEWv zw)bTk9s4?vXvN+M+C5hfE z>5??KTJKz!2JXw+#4l-ZaIn3f;K9N6^ObJQTvnIfqaFOns~a;Pv}5FhCgale%%z<_ z9x*chul+}wze9iF?=K#2vfr0xzvHrIaGOS;@7{y?nk$>~clGt{1IEy0CKlXtWk-MYnf_=CNxSFilcm7h_( z)8Lg?KKjbFYb)*A*lHl%`x<2be51kjtLJYTaE))IUpc+9)<8MzTwdLie-`?-S?zz~ z6WxgT(*SKvUq$15yK`qSKqtPpwzD(6^YXeZ2ZQIIhie`0OXo?;HvaPIxf=9sbH{z+ z6HPlJzViGP$(Dj>z(v7uM_tFGD${J9HxO6dmbYI*PiZ-?k>&~^U|Xu@?HbjMHfifuwK7U1c?6Bwm?M~7Pb z7fV>PoEsor->uY688NiL z({yja7K2J_3ISr3akpf=i6xd^qK+5ipi;usgTcHPkqwly4k_vX->z0h#&~o!R+BM7q@+!%E#`eSk`_P0AE= zoa3{qfLlMSw{pzhVhLt!q@@(KMd3gSW#4Tq9$GDknKIBAlY3}^%h)d86((Dv<${rU zDw@P8liDv*8Y)7d3(wqh7c?b&SxgMwb8Exf}UvTlt@WI$M;UEqO6&IOZMQbi!YR=`Uoi!Twh zJhbFY&uQG{xbqAU$H#|YAzm#HwGm%7UEXTsA2!o!O*f8Zk^sk%ad>eseCi}XHwj_6 z)CY|+=pjjx^r}20JrkPwp&vAZSyc^UTvmrwwTx~6JwP8rua@gaiGbXV2zN3ItEWsfUKbjzb*zPyFcr>g1`{OA+?Xb64j zmZdM2M~QxTLOj+eU=qYZv8y8=Ithsb@k3Jd>2)h%DG7lxWNyh&hfUVpXqXL_WLolk z?9dp9*s^*3>TTCdR>(`1t5>H;29~mxDucjgfnJODBYc^5`&( z#4+Nt>?XlDXq$1+K=(&Set_U4q0Iq)qX$eGj_wRFgLn0 z*nA=E{4a$)jP82h7`sTqwEYIz`Shg6Usv~G-}!nN{o!8X#W0V zH~v?hhz5IIpig)1VH^*m(`LDi@-WbT>NlvX9kvmdk;mCUfN^w6} zO3TWdq{N}VSjuCQLOp}XVR!w|M(}!|EMivpC|uTc_41xz8KCPnR4#fk_QCffYtDp> z=9v`9j5b>DHTi7=U%?LNvd*H zm}I4Vc@9itD2^x06i!484R=~Jpqmn8PoBr0xQgpM)xW$uDJWNTpACPhERNg zV_g{Y32F%7Zz((P;dUoc3=0GSOb^no1{lE_ng(o{>NH})?s|zJ8JeD&Qm9G7tyba{ zHz>@7ZaU!8;8h6#7MKV-9t}ss(t{a}8iWKaW1?*M*MN6}fcXM}#)XNe>?o(wv2YfA z&bP8W+H!!B0~FY(m?$1BJGetiqmz^dM4P%w!ls=p2z80$IRN_%lF^um z?+sV=3I5FB!Z$`6)aOHq}`~ns|C|A`Q%I;vm*ab`j9y^v7}GD@Vaypd3fcz?Fwl#$eVRarW+k z)>KOF5DVNg-&Bg4{qvYEPEeO+6H%j47M_AU5r!I?&^*TycP?n5?veAgru~MyWv~Uk zD3a$YAu~qI8C8~tvoQp&9{Wx4#W2hZ+Yosyx2q#RycXIfWLP%r>8H^7jOF zs3JKU^6~iaan{T|0!ZQW%xA-sLWzaollHn;(Wu8F!`gtS%5qN&;ex}BeK9}GnbTby zjwfVY_?qj*go`}6@tt~-nI!pM4j{>aDn1?$ylFsO{*kn%_9pQbqcB&xQ68ezWEmmA zqjCyUDGI_XOucJ$w0Azrk8JoInOu*USlM*Y1-r8%(vIfj#C2Bku*a@_)L?Klsw=I# z(4!+UwG(Zf9DEr=AZ6Sn4vu$W8c&oCoU4Mb3Z|BqGYvI4c?aG`|YGC2TEg$NUMeDTF)OsS$9G*xLfw({Npn}UoA@35_jaOC2KO#M_uO|L|BGVp&)(D7up_ze{ML7VOOb;Q|I(iP z)y}Cr;Dr}{>$g7r>D6a7HwgxwDNCWpD{KgkIyeV`%KDIW| zX>jlIy|&~26F)H?fBMtU+T7ULRNL|MHQ45Pw_}fe``{pifAz1F@8jQj{5yNAG`~9f z<^SYA?(4F@|Ln6L_`uh{t~I(p{@b5jX=-I>_1tsL{rGP`_uL1*{(-Oezv`u#uYPKU zFW-Caxo4m4?NkkiX4W42wr2l6`&sc6e^LC!9&@~cRI>fI@kWpR43)~J-FNNYZ_Uc=gq% zpI)u5U)|fg4Jehr>+hbQdV}T7fPJk7&>Zdk8ce5~bq1R>DfIl6veXRc6Hjy+T)zDD z)8snPjX_<3oQruNtXsY3(&~#zktm*c(SmLs-JpQmb$jlc$c&bkv$~)JaUVx{&BOo7>nNdJ^n6d8ev_PrV>rmuSa#wfF{z3XYTpo;59t7DL{{=(p){4H_JBT@EgdIJ{s3G< z`|g;9=|xdMdPDYeGnCB`3Yb$*X;lSjrk?yUNejM+(D2P{C<3z-ky3ceMTQM2 z)Nje0H*{w_vKkf1sVG#fDW@KOrf34h&AYHNOE5Jr<6{cG)e-~-WpS1}K}WD_UQ>;D zTX71t8K!I#BGm~tOi^^&0J#>7TZOnYjdd$W+!Pk~ip!HFcZswd1 zjTs%=(0i2N5-b7FFM*zSSdpNb&v@QW7#g!RR%**bW}I&y98#IQ%Y)Po zo@Yzaed-ogR&WzHV$Q`C6U3-R55&!2ld(5SS-pY-77-(j)ue}N`|!$1FdVYj5nlb& z7Bm!}LkfI~dtQhqoklK;^5xaeGG`SU z*aXUJouA4elCB)7AY6=hi{rc)M2)$PF_<1|e;MrwV{>W6x-^)~&1?KcwxCR`%H*N| zzTsfSI@X<9qk^UV5Icl(K(bOj6!-;I$)Gt4$WRG=z>z?L<}O&9%dqrVbzsB7#aLCm zP{!T$Y$k56b;Od9=h^&Lp0bfFwN-SdhVKWdkk7Mx&T3vUCG(3fVylSn2}VwuQQNR8 zjng4$BJ>by5C;hIMgzdA>;V9$Iq z8IJg{85N3#wMYikwk}Fp7bn!H4tiiTCL&3vt4UK$D2sHt08ZAzQp&2Jy<-u@wrk7A z((5s}v$6FQF7O|daQsTXlfU8Px(Q_q?)GChN!i84H?I;uEyvP5{=bcWsiSxPDXaau zu>Ae~v^KYoJ2<%X-7kIVl~%4D9V)`fiMehtk09f5Dx*`0q@b6y5vQblTV88^3+`?%+; z`$xi?vFU5P0@>$&SorzpHAdRNKf3(Xmik(Yt5L~@gO#YM`unKf^Ap|zeN!5+$V6vU z5B4nFA0s!k(k_0V-;RXq^+=ge8claHpK<9;*iGEV%H3GH<41CO54PiL{g7~=# zEWCXPyz$1p%ZINV(h|>I0e$WEx@EGGw;g3Ckqn(}?ELCK{6zZegNBr99Ry$tpKl!A_$cgHl#?GAY`>(zB>g8{I{rz|uQU5O*v;-S4;`sjHXU z4S{R^SZ9V8J#e^8P77Xsoeq!JhckDJ9?9GNUWkJ0KbQBUk@X*ELUWhqr5i>Id2|Km zQ55o#Al%2LJAMn4$ZqakycgvGZtr~cT4@^7uZ|R+=-7F>Jj`gc_X#ClgQ>obJyL*K z?shEcO+h~o({!iC@_C&_<(iZ2Fb|$tQKq6oycIE6nnfg&zJ+xwR*fc@;mr+WWhSJX zF%aa;g5hXE`RO3)r6xu-#T0rFQ_~+RVWtJ- z4jR#&SQ&32Zl01jsn-HisVRYaGeOEoZtYlK35>^sC{$gXjAw<5jG%<(LI19;<)S1E zR@N14G;qL12_mZ6(vTH|O+{~*hD_8@#EKbR_+|{z8)>PC#uThhENyg@1W!c zM~=JM&ZNV3HfLjnA=aG96v?IJGs@ApK#eUtmZMg4H$yzb&S6ngrIXpPrcqcmdFK%p zOkmGZRi*0MAg7xkA>WTJERx)sZE#5HrO0%Y&1PH+iVBMmuW3wbb2H{5$9%e0$!G-C ztX1ATGpHu(4a%nQdPUWZDT@HjHMfYLs>&*W#qWJ+#W}Ya)7%%c+=!DC%`)*gEwA0- zV9LaEz%<)%Hg4H$vvjdJV~q9l$C?sK*f6)=Z^xr1NE;XoumL4v;RB^ZyXdKx!1&O5 zx=K5)`|W4b(o<3Tw2kaX4f!k+E2=b@L~p`_n7M_fzL^J|KB}DWgO7F5q>irC3yIaB z+9e+Kd~7-KRYm9>hdB1+fepAP@&sqGJfRM#tn~JxXwvVU1fQPdErvi21w2#Q_+6?n`OO;79BBt{jP!z%`QJ`c(c z<9lyU_-bNhfupnuOkqX}Uh`@RU?AM@`Di4e88`Kk5?H4gM&Y8?6l>91#-;}@Z-!&* zRvd}5M1e{gEq$S<*`=>MX$sAn2`03nC|?oB+?;3Qg=|7x#X^oc6i0qz4dk^dr$>#J zE<~)G<|3lR&<&h$;b$X;Sw2(WC}*OLu}b67r17_Cn((z%LMXU3jI+o{lgsED|m;<7@(1 zTkrO7o9bNmA?3KiFkl;wCjrEWeOJ8*yEV=j-LVZJMv{jC?2MIz(~44+4bf>_Fvya+ z?xY#kgj$I5Q!dtlP|yo!pjKrtYkl+7RDgmSU&D;rBqa@r9L9b;pJ3H$uGRd!no1kk zEqr;L#1v`bL99R$(h~))%a#`7#nUqNLp2d59z2=qu>f;sJmgpH`Bv27Y~)dCTsCL| zzGUkO+sHF$hHo+qK9bTQKwz_eI_+x3U~tg_IztjnBv(bQ@F&=eE8wsg`s0bQCN^DA zRR4gjiZgMv|Mp3U7hw%qEFvN~kTrAxe+$A^tRK<7CKDI34(Ex7O*~sd&{@ZN?s?0E zUS?O?zlHp9rW*l&{DhLqz3&c|Zc2Y#J5vp+M2KoS*u-X3nJHr_Aty%R zhv{i7Gb*ApUuJlju^xC?df>VCTf@;kfRsZ$=iu~mWsJBR2%8`|?M`UoIs1}Cj2A)p zRK4VaD!Wle0k7+8fv-9R&%%W0gT~HU0b4pxDWE8Sf>;p_&85N4f(t7YB!hq}-VF>h z&xxK%ZaT6fNx+O5PLp|DYf+B#Vq0-#vi+te;wh+32 z`H^%1=~WyqN+f31x!kBXEY(2O6h{m*I*=b zIKlj`ACDmEq8vArvmu>~T!4hm;m;0q!x5yN@RVaj*%0iADzgV;0B1)Z)bfUT|X_GD$++m6K8u*xLVAlr^74 zzVUxv`QI(jI=6rQ)4$V?KT24S)z^>K&rPs$+jC2OrZ4=@tN*DVAO45`!>{+_j}m?q z4Yv1zc@(Md9?w7j&;Is5?&tP*e>eZRe*9}+`{u*eEMkJq3`#$33V-l}&$3}kx1#fY z`XBtaU)x$4`r;RU_W$W~dH=IN+mD|UesB|TAN-*EEZe_q&30``d1kMhi`ywLb0Xeg zg>0GwGryaY>t_92JD1ntH!EH{3evYyuGiRh*`ApV++XdVCeq6GNme+`3Cp<5OFi-A zlU>r&{ZpF>WvTW(?OA)}^((J$&-SfY?yPxg%~NR)pDyKte5TM^@*`+)n|VM$t4d#D z&)QRfRqXx!j%>N}o6|sh&z|mUooa8c*J?J|;QG~j_rCbb7hj>sTbFj02JhTyG~1{7 zMoBpMg@a#6h1uDWW;<*@)ZCk{_s41rdY`A=Y9Fk@<;&yujo)`)Yexgu?4yBJ3roV@ zFYKMsz&(-%h4bs5-4870c71+S%-Irwefhv}ciGilx~2qk;`6*ARB*UK;7&p}j2QO< z$M~<1%#wb;hkqXNHDWa2N-Z4sF;RU&a@)G))&{owO&V@OFT}&Kz#|85KA}KVM+@Hk z2nRa(=*X0O9FhT0f?#gzc#TZ}{NOT$TGxV|HfF} zMd>6qiQ_&WG_Qn7BS?d$b!`)ngur!n@hn9LH3R6HU7BWQxq`byBjgg}a` z`_%oWV0oJrLXFQ@*3D+qqQP$%*`rY$mP3of$tIfPXk3NT)%>&{P3>s(Y@}w8ET@{t zQ5IB-Cp06hNv5%zK}*wo6O|AMnOw>)*F$U+GLQhH$`-U^uaYDf_%7s{vJ2X2QRcCY zQ?nO>GSaq`*qL>rOeK-+Nl?<-G0*E9rCq41BNo;eU0GMHnglzTwt*SGM!oV0A>bmY zjeum%@c}A^c_hMS!r68hU|;^F>FOU^44&bisZy9m{V;;iFr9K5X|CR)g?wj z_qK)u^-8T5)GfH)2|_>L06z8TJAQDp)>0y?WfX4UDH212d7FX@>(Ou=Jy9Jl77-Ul z=aHn6dN0ShAYa!xNjL+YWzL}2QOuNwDJM-Dx!l1ms)0<~beP+fv2c+e6Kx@ec;t!zHNRLG zO(nF{U@18R#)CmwatVJ3EO(n-p0?qfZ<>AosWR&H|0oz#H0No@y^a&qa%wC60()pj&??#9Zt+wCXZ=eu`8(Mac4 zoiyva-AdRlC1dHdw@9DqobVfO{BikR#>A`D``@3)n-Q$y*Y;OC#UK2=@7??4i)l=M zj*RnBTGe^_hYxSPzwky`UbRvAMH;xbsKNfh{@&>yp08aWD@|Y2#k0DLd!#ZfHO4gTpU;=Hp@i5mKz~4cv>`vzO;A=^fGgHPDj_jnUtn2CMx$Zl67- zAFSsO1JyfqjDqtFdey7)+=X>h_qfcmx{MAaZreZeqY&oYCwZl_Gt*oIxH2KWVB#dM=?2k6wj1AG-gv<4a~A?$1rTn$hKzQy=Lhs^B|sa zu6ALCqNi09#qYX;O->l&RfWd|r;*$g85-*w4>&lHffRf#y(K;icocYUM9t$j!UsyP zt#U@QoT)6W!ksY4rteCrN^7WufW{4izN0wF{8WAfH`BZ@AUT|{7Ls^j`nAG5W6QLF zmPeQ0av9HSRDXhLj&sWzMD9uHM_&4=nkaz_&MyhoGNllw<0cJJOo<~BOMzTV@pk|1O&2$Ze%wAMjrT|q4A5f0#jh8i8i!r&S$>8W_hp{y)JX>HV zVu0IQDSVH}^CZco@`iaMe_WR;HxEY_A+gOsAB07Z{m6vUC(i&W{G$5NkXb%2Z&-c7xGuBRHlF(;KVXk9ml6E}j zqnRd$;m}11iz-`JrJbJOoxY4x^2r-sFdehU6jjXWG9Mm60)n~8@!`nVn*Q!VX9sKD zJ-mWNg3%hoTX$w{m~Vhm$eqqthzlPFczj zh@fJZX_DYuNs@L3xSaXgWs}h{l@J!1Fc(x*jm*)LvlwR??K5PB(Fxod9v2Ob)(I2Z zqfkgS`>1dN>l9|*+^+M*t$dTNvsf^c-l^4Nq46rXP-v~2fD?C`Ch#^>tcul~&o&z>RnqT=hn_qKXA z%|iBA-eNHI?AeLw^t16NHZr{5ge`Pd1NXLRa3-U7J!&INdpy8I`Da!yT%>^Pe`Xe2 z`##Zj-xffF5AT0?--SCLzewKw8a(@Kzg1k6?&0|NRX_cnlIH}RdIvW5&T26C9_Q+A zro8oejxZ`K_j#TYjLOW_NPgzMJ8+iWbA#?Vf_uhoKR-Al>8wuIc2@gopD>M~d2T@b z&N*+~?!UbM#On1goUT4`aP9M7{Hs^a%Gt!P^R=8SS6*j!{?XtX-(pSsgmoG)pk~;9 zHud$LOFQn$7f#(L2G@q;-+13y`X+wq%B4$JzVS1UtbtN?>kGPiJ#AmZ+^2VE`3*T&|c%*I8OuT-oNkeY`?>QUap$!1PbrG)9m@o?gE}`s%P$<=`Vis zDIxZIoVgJp!Ma=I3n3au}Bq!dkc}? zsWY|SoL3xYAPcQ(ef*HEpZMu12bnbiDOxeeV{n1G1RLl&6A5$C-Fbsos0iX#h>0Qe zH5D`m?68pBpz%2y{N5^9M>k|x1J2K7AbpND@i?pf>u}nGiUW=7aL5lJ}C->D+2*F8!f<&Gia{S zOJ&_yAqx)LrP|>d#G%>R)b7$VsxdhQ21`SFV8a1r94yfuY4CA&cqUiqo{naI$R`zN zK5Dz|qV$ETuc6OWGmgjfsKl7Ooo}@dV&UQ@%=PzASKbU_W+Xh*~7 zJl{%A9N_|{K7{CE6OodD#y20;el|dY`j|??r=rstA{4l;!FocGqjSZJ zoRWngh!hT?hj2_n za6VFI>Qg1p_%QN9&*f~(bK~1MwK!D035H=1)F`xr(ZG4!veaeEDvJ$biYa8yHxf*} zI_Fj^LCuj6f0oBE?spLuCeMqqIxLE2v?yp1_Dd~9MXHk>Y$RETmb0t1gk#as@%-ZZs1Qc5h-g2fR}iOI+Cop9r@QdB&sYRB4no31q9 zE1jz%yD3K@g7Yr2z4G+va7l#c2r^<6HDU;ZB;n9f3Sl|yGI#2oFY|fH6nblZNAuxj z-ncs%#xj<=Ug_ebLx}<<6bCo9W5=G#x5zsuBQjtrI6oe0&`yh(xKh|S5k;x&h-zGe ziRI12E~ z2r*A$YGI?N;$Z{4oN9LvklYoK}{tsBnk5`Mte^ zgR~}h|GO`)w72W+r|+%4_MQLoTaPNG<71Vs_0YTcMSkJ>i;vOZ>4T^1moyc=f9XZH zcTm4{`{~Qh|NPz;E|Tyz;D=4q1j*6G>Z{j(<2v8VyhP})e)2v0Z|s-X4qn@N;ZxWD zt~eeB``14C$%pZrM{hXi1S}kLzk6wTX@CC>Vt@MQ>e`i0fAINF-uvXabL(1p?b^{C<6!FDIeo+Qh1IX_XvK))=fej- zxVqL~IdX7t{Xn~OuWrBp{rmS0Op;QvZ2ch`FnP>Rysy7LwsiFy^&6i$4eru+AFKh5 zoWZbC3ikG{?$zwDe9bY--wf^~Y;s?8FS5__!2zof&MgxknzfwM*_YD1`szQte3cUQ z<=h{Bseb9D4*SCDqx(vsG4Xak>0=_zk6Rv)9`W{8pJyQ4IbQk7k6PGTFx4=y7P}eN?IbozQ zZeAMi%msc0=WRiJ9w&|wxI)BlA*+bWqcmQk8$e0B%fTn`uqu>d+&345hiJ$Vp)Pfm zCKR)X4`eDw>RBn8Ad<*Sh&i`RTIA-ck{U9x$yN8&WhqXm3!@h2KY$_&4g)WDW_UyS zb`)(y9Q&Z73S@$WT?d%D6i^5T!;S&~!Kj4{kD}g%HlKoVvfZx1yMoEcdHXUM^MY_r z!Ab;uzKU5`^5Ex5QFNg(v0<2DD*c3Ib~UPRq?jl540i)VdTWMGMV{t8@#B=@w5SV=JFIZxz6_vy4kTwK{Hp4r*ylrK`p(8 z<9U!UbxUQ~+H_@1P%;dXd09dT!Q`VggS28!qlw!1s{`w{xPU&hD8p#1tSK{bNiT9S z=@KLpDUV@PTf`K>X0u@|n&qrgYP}>|%Lc`KemKOyAyYXJg83`znv-ETDZG|P0Jx2O zdU^$^ymCyd`UjrOkd{CbW(~o}Pdv^mWbKhiBRS^tna5a1w5F|i3dxw-&1O2u#)Cz0 zuC5EHJVlE5gk026YBq62O~f5HWUAjG9mm=6M7VRnBO<*6>gGX4)2T&nGV6fkyIvue zc^p=DaQR?B%Nys)neH^`e3ttdcu9+(t|@aVJy z5|={Q*#z2@8hH5$g07#QFeS_kH7ljLXH2MnB(<>iEcmyIeA+C3SO^TcNS*4voN2lOwmD4?r_Tx)ecb1gO2uwdQM&@IJ%>l zSBnW07lrZd!E`Cfqwt1H*&%7nLY8F&3kE421PhG0GIL3Dg<|*(?hHRq1U_&Gti9p~ z4)JR^Z(u6$+{hwa(}v(R-kavLY#Fdz<4=&-y~Q#!6`b=a^~MdnjI$)F)fUYLokCj4 zb)ulEVc$c#D(?)c417fa%da7Yr@kkuI?jX2 z9%Hmb37tRGLAy^jKXtV&{CDp;*XWtbJ}U zDAZK2$1){s0JO2W>0n)|I$;(Ny=`|X};Su zZU$c)99(|S?>B?#;1@5u-)~a(_SUimwTisMF8E! zjeqf)@#fy0wd9VU(Y-ZJcBD36_}fq4Uhj*{u==)p;(QHm^Od4EtHH%julW4ewQk4g z_rLu2zPH+c_44T{A3b{FrShJAeF^37)4zVn)^K#iY5lX-+K_KQU_CqUl3(j~jDF$| zi$A!-zSx}xJjuFe*`K4qM*1dnu?ASICnGq1x#vc}40dE*E6)6EF^js)YsR~=OT2dl zaqp%v=gGdt;_5^c+$M$fT=CZ&N2Dp5nIr}d&n*r*M{X`Dz2?*#i+Ok^gw!bk7(951 z%SxU}8-_%Mi^|D>TUsCx_-OzsnWVJm(ICVNr~X-02`^|z1tf`<3{*Dp>(74{M03%wISg;(7kd4QTm<_j11}H@baKKMqFR@bOLp_d(zc0NG~;x zXGC(ZCFLx0##hejyJ}&4j>+@B|HsGGmZqsd720ZLkukuQ4;`bcZI`0^=8j3;1;R8kNfw!J}*n z7Otjstf8f@7tL1;NCS`~5f`4K70PCe`PDBi#({BVhzuErA+i=0lMj6$QR6%*bWSkV&y{P9 zE&**d>eo*a1cb3t4KKgK8_wil^*~H~sx-LKJL0Ps80Koh8X1U3L;&do(Jg`eNzKW} zP7p>SUSt90WF=NElfDx3%poW8TX1NckYu#h6bE!|FUOArQ{^?$Nhr?XB!rk=lFVH} zhn$47aaK(Sbb*lg4Q6s9ht-otHR;r+k_#rDgx7oR z4K+6$PAg8L;W#v@o^(ps>k{KaH8jOKa|{^N(w)Z|e&R_j#pa6HkB2PitaT8b9-;%= zR|=L3m@zyh^pnIPHxD=h8VRHJLUaHbg>1O%aWd7SN~Pb-GrTeLrdp3sIvBuNtfePC zcGnW~CM-hDn<{W&%QckC{CHe9WzjI9F~PPCduZ|i*Jbr_Vp{b0NxX)pDSTFAydL!F z)EBhrI>&u6akf!w4l>UbIgC6B8y!|1p@>yEALQI%Sj}HVY@e4i8$pH`cq{psYj9HqQaU-Q zk`%KzEE@3mkVAN2;B5eI4g74%vhK|D+13AYHBbnUCF>*v(g&y zV$X&qa0|+eUGP)N*(rj{L+w0rxhagNBmoeY=g#vTC`nTEM82wM zP_hvE*2x4@bC*C3<-9E9J61x#+hjVS1Ob^Fm^HjcGfff`Ry~UZ0gvrQpsO8tVwsEj z`q3!XWT`J*S)n47CCk%K{4J08vO3}9)7@(lr#Y6Gz~IZ6bJ4<*CEU_t1Cij-CTiB_ zOzB|=M*HisXWffP+bMT*7j&*Rq8`O*wQ#%nNj{>5%xMYg8o5E(ZSp(@*bo_8vFQX8 zF2Pp3syuren4b9-z2)NiT3_+a7@g~g z0L&H;zuHIK?IImtmjn1IZ?CS3_olvfzsLQ=>MFfi#s_zrz3?x0f2wKR&%w{PNO61p z+)nnk|FnDhLY~k2fAUS%dX z@9iH*oM30?+O^mI<()?1YwiWZuRgU3!vEUf*9N~l|7UxjbC*_+EruUTgDEMWbbW30 znv$IXrRGC8E{=uI7Pkk!zp76@OcITRt1`ZXr zH4v9`PptYY0uoMDw7-A-(si|&Q>~K`FV|aFJALz* z`Rp5C12y`6=f371Yev88-Z%N_AJdq*9aEo>B8{#IwV*MyX2Ls2W9O`uEWUIOJ>wqh z_%2<#v`S;n_UM7-5wF+pZup_-ZqHNeg2w8{zPQOLo?8cVx`gphS-5wEdj|L4H z`t`4|(2QGyr@hRK#+|Jc$DBiVIViih4CCf*?sbK7B@5DHCu> zLx`jl%J~w_G5rn5- zi!TM)-6|u*kpO({EvT-6lv7LM*@UOJz`}cwc|%x+sucsK&O5%>Q@~LuuHL68tkL5^ z1zMqu;=xih)?o>>?}bs6L{w?C>9APN#M|nNs+tN8YSKP|rtl;nAP8yMsyuTV1cMeU zTVs?Ys2zUM*EL{x+uBnPJER%8O94PuNzfvC5jB`({-$MTluZJ(q;~0$8Setu4A0~U zt6XiAN%y|az$Xq_r7c;DF?^gx2!QCR>Xf5E`I_fS`HdVeXQOY%)?Lhn(P5nn51;%- z&ok5{X(y#MK{Hlsmd&sj}JqC&$-=V zB!)b5dobI16A^g*q6CF<*9Q)2P+*q{)a+o2e=$v;7Uuq1TD- zPGIXKOt&P2mgCTO^j;2)b4akk-U;+-$s&+tT~)EFn#G_A)5^IR9r5uY$@}!hVi0?D z#@d3!(R31`y(FI`*sg?$J~g@|j2p{gtQ?V0X?}vNC1D`?p(MCgLgoT3fkUvI79ykl4A zckW>v52HWxZ)na5{?^?#aJlte^P9i(FuHRO<9HZdPnEs>G_O!_*Yq3;2?94v@3I(j z;XTXGaHEft#XRPb`)BNKg@!kt#;GF#FES)^j=XsxOzNlL^*~Z}H&-u3Vb2Yl)v0Ta zS#4vHJZ1@1OvJeKEPd-bL{Pjdy|+$5NNgrgi&+aUXegkEdvXoDxhcnlIoFNnVx0u0 zj&6@04ckMU2_|~c!?vB3gb8$1tou@XAw<($UY~zPJV7$0_-BSlc$qE9XxWI(N2zNj zjzqU^nZ;6XFY%g$DOU7dT$l+z%QRpr&$GY79GM1%7QhC3xrcb03Axjs?{pUDpvI9@ zejOf63$>4p_r8nDkF=Hqc3$wvcaSB#XgrT)_AWj6Us^^T_hF})uHlwKwJXp-DcWyt z_zAeQjtd3LrLTC%Ss9=DVj52ru_lh>s9vi2n_(@zfFd)C7l_W)csa*BWg9)#&}gRS zXb8zVlA&I1<9z!A4M=+WNup916fkw6R$K&` z&GS%0zaFGfO5@fF4eBJsc-ld(glgQ8CJ_jGdS8*^Yb+MM>KDm+@fwBAD)68fF5l{L zE+_=I_4!cI037Ak1!glwjIXBInzbxwA=dbI-qF{W_fHEvT+VS$v@dSAdCU3NMGc%o9v(3g651IjSmN)ZYW|HNw z0~}K~Y516ForZBH$nsO3ZOptb()mI*Za#BfCtiXWk;+jFCLw5HrK#zhUKMOyv}KG{ zba0e%?C`j|F`ncx3Izl?)Z)M+8=%An;f$}S2}_nRYGl$qj-pF@O#7luf}q1Ni?gWt zl{jl!bd(=WcbWpI%djioyt%KGO zbLpwWt_OX3FFY_MT14R5-~+EWms!VR0jfYic0(+Va1}!~XTC4#ka_C352UlC!H^1C zw9le2FYr)#TO>v(26yG&bnCghKmD=oFGsnKZ{trN+oU9P*J3>%&Pfm7l9j{NF3f!1 z%B}wKbL$m>9f4QaKEl)d?|u6*_ME& zYRLqe(K=n+=%Q;M4St?TE)Dn&&z1(y-f))SOJA}UvQG18fzxlFF8UfgTB>)Fi?Z(j zFRSxrtXAy#!)jbA>8Y=O-~-a(=FQcg%U|7CJ@@RhJ3GJn!mqxd_?v@gKm6>6{m;L$ z-d}w2?a!`m-eE@P3}o(w)q8h-Z|A9}KJbCBZ!}0VVK>}!TH_c#6+U$)*&{@?4_03T zw|P(2t~tyezW0wuT&SOMzc;4#rFqBhR?E5vyC7V;^xf}n5@C*HkrwsB3m^JWN8Mfp+F?8Y{58Dy z?!EZpomD&6wqBEyt{X9?S$?&dPKsr}rNO5@<$XteD;j9F_$y!e%dM}5#ee#HuOylM zY2q%Ivo<&wJpcTa*OL`5t-iaZU6&^L=i6XsXMcY@{>AlmLcDKQgQ{9<;Cj`yojtK_ z4Kx%iIUL9KFAWlPSuKpNxPC6qb?dVfIPF=axcD@D(@$}InYX~FRdP#7@5uS ztI%)Qgwg~p z9x7EpC1*vUP>K^sif3Iw)!stw!1iI-#+4+cPl@ZqM5I|?3S@z`j?pH5plkc1tjHn> zQqpxwRNKZSicenaZao@l60)JABUu_zCz)*T(Ltb6OSt{w!H7P?} z;AG`kC$$OIZAWaWTyj-nJ;CTkrV6=T-?1pRfyfL(Bs!sMoWLf7+ORwsyoXDB^A=4c zU%vL^G4mX)8z(U{a|sa!`Xw9+x3-y)&6#-pdUm$bK+=V$6V$R#LGjeeT5V>yob35o zPQkg5vMdOwdXp6>f|MSSLVf=PtmDN_B||^~V-ws7^q}av#b-&n;qG(DAVIG!bg0+` zw)|o%=B#+2q|_*)i;a?Md-3sf^P##_r<$A`-pMLSnj(u-<;93BIau2Cn1V_m{4Q z*2wIEf%VZbGm>t`Q3%5!Um<1ceP8_-CyDga~qAA%BS3xQyg z@`TuSXHuc4VR46x<9sOvQnpUG7CgT7+;W0Rp98Jq_ZO;$s(6mx0INeHMV5NgwO9;0*{wzs454!!n;ucjJvlM7>W``+`V z^_pHDT3O1EwSF7FadZ6~Akj(M<e%AdxzByhxu5%TKV@gwi!``$dWEzrjb|P(3UE#u z=&iwabfNH;246=1FSF$L*U^9v*7N`iM2(S^OAl?qS1-Tznl$^%LbUto8~)qZR_+SR zVD~PwB`{$fdo2GH$MqTuQ|cY^mcM^zTaxW4eW3dNHST&}mR# zXQ?x#KmGOOpV1&q4GbOQrLEH^3F)RZp1FF^{4yNvd#~m2(l0WbSc9<;x8yv%x7xkG zs`pvH{GMqeF_+!n(0i@;_I@kAwP}$a9aeW)P5Z!x_~^3U5+|n%E%67c0j<%k{8!(S z`fp1j-T|f|KKN{WYZ=W*R;-hzUcR@uX2G3PctiIV7cNmOp!@3?P|B8LeumUi5(g1` zsP641v&rYYGzsS|uf&G$!TP5bu5M#USZ@soJ0d*uGx$8H~Jf9zbfD9?r3m_NGJU3s=RTPkB%V1w%qXaurHe*tUi6 z_d|NbaXEzn+J z!b2H<#PGvm0fsYCnsoj}T0M+@QM!lWt-%DIz=;w6B6t}6qI8dh?|M?5e^H``(eF#w z&2QXC=p*!G;;%dO?eP}F8R>NVV8Pny4_1Z0ez}JWi#%>A{z48Bf<2E459Il4M&x?` zPCshX4c%!S-tCNkl;4jm@FNTS$O1pIzz?eh zxHUxS*7@(Yp4MRu4}Vhij_TDtf$IG2%pTUW_QtN&8EJ*ac4|#;de*vi`+196b9fH9 z+QLh|et~)Ixk(W=z0u&s_DB$^N&Oxb5@(mDpRyl*RB4aEch2Cz?|Mt9Wrt^%9%hch zY){Uf&7?SsI6od*bmw=9RN%EVJn+dX*+12VEh(5P3Cpv+e4b@kJcZw+`$+vg%6_&i z_owr3GUqqN&O4uPivO)MtG%-(IB-lPWyT@PkEwxfO^Du*5b(ZsR6WdEE^udw%~>uAE|2|-J$)`(h@bh$~d*BfT%4rUEpo-^$}=;^zESO*t_ZObm%xIv}Sq@ z_qT*K>1_^Vu@%_)Z?pXS#HlS9*yzX;oR)1n?6zv`#!a+^HKeLpM>hf0^2JbwmX?fb zjjU_+6C*{v+|DP66QACtmc%Z*!q6hF^-hcrbQce#-cPKS^J#Xt@RMa=w*|i8{;q-= z+c7(pYhRY#Wjre9@$hX>ARm9>)1&+yRG%K>DWB>Zun`l4} z(C+c|73Bd;?pK_3@v%kL>^cU;yCZm-k52}$cTZ$6;+?^mnq*Y{Va zs}&o}6X7kGcN3(+wQD~}4OH6e*H=5YSA5oc=iuPwm-~A+yj!;TJ~YUs9e9=izcdg(xTW;D>=`Mn3gvfnV zzq<9ixEqSDSOP71;YC7UcwuHeisG(KU$61CE5Me;Mnc!cMJnDPZ+Be5o8eGjMty_ts~wZH7R1#b0&K!I+NeFD`RmxR3#mZ;4%7phPWwP6?vMIx@o z^@)>re=waZ*zc{d)~*UlKN(@lF$@_z>^H?3pz*xM*FI-of-rV(m>Ul{xjP!ZoP)8(AM!aUq zessbE9>u0^t`x3tgBmY=i{5)73PZy}jgO0lJt8wIk+V9&SI7?R4frO9{G)zt3zNW8Da9=NMmV%AXg$SF6us~K= zjxnRtdNE{S1&L2Vu$dPO*k(xlq|pd58cpZ--*wLEk9+U?7=04Y?mktuckSA>>*JhL zU#Ch3B~$*hp$|wIb3c@PyNoVYB#B>p$f!I8ic}w?w_g_p(K1to*u(6k(IF{U1d=)Q zZD89SA`e*@)RimpN!?q7%pK5sC*A`xOo5GAH;;aR;@UxjCUOb3?R7O`ow~{3K5yiiPK7}#ievA{}kH=&0`qq0dIg>LF z!{N?o%=2LwQ3S^-n4SU0b2LyTvwAU@&3Iz0IJ5}?kIZRrN{J|2ly&&)VLs!y^@O=u z(2kS++VzKr&IJPb%H<~uvP`@6We^oItFbvk4ATV32CT4C(PVSo#Y)>SGcOEO%Y=kO z*}e%Gs0CrVQlah@(z`C$3O2Z6QP05ggBo+TLqI|z+;Ig+6D|QtX~(|)sMH315xm5T z$&1WqAc*Z5DUveah=SO z%yM@;n-}wH#vyN$@@T5is?;uXjQ~oU=Z+WcmW-#(zAopjwr_2_3^e|U{+4zBmap8} zRls%~fY^jgk}<&>$+E|-zdQ^sw~l1r*t_xknddL5=dscI*jxVi^7czFzPuj(>eWk^ zZpGztF!&X};)Sd3-A`VcTvn*GlUU;XcTd0Phu6bwyQM)@z4yJ_bc=mcgS{XBan3J# zrsceGV}D;7eEQ?d<&XTxXms`JyV-`IytJ*s_x_&0`TjOcYlqg+*vl7osdC$(==uJ; zgXQa%m!5j^(e6~}*5hq&`>yYL_~D=WskgoD$A0YH?&=FE)GFj}_^v-aX?a`wmaAH) z*)QI{uz@FJTZ7lV?$V_z9Ese*{=W80*x#ScdcCKg&hy!f9U0zz_3E|;-}?`~>_;q2 zv#(y{+^(9M+U+jTo*35n)8pMCL#i)#ziOu+x|6~xC$N3q+CK5{F@Hs;ZpXLI`|hFd z(L;yR7f+or#@>K??djVlM8@*kg|iM|b3YI4?y{r99vxwK>5{u~d8^)bA{xhyi#J}n zw!hr1suy0keEGr!PKK^^7TNN~^0ruRFK^%24rBO@3mbK;<7vLQ-`l&%MjH37f!lpQ z&}zVeU%T!`I_3VhJ6nSnmoJ`~2hwC;$A7cC;l+#nK2p~95bX3k!%;6^THfS|e)DEs zKYI1bl}mP3Il0Zi!Wr!D#Tzf4s+}!g(t!OW?oR{v5?hjJCmCt5x7TVw3N|%J4L%o$ zPP0_CMJp_{ST0h9ugCVd^b2~h_dLDeDcB6Gwnr|#Vz}736{X+T;P3M0-MGQy ziEgGZ3d`AY(gSJPLThMPu69~cGA9ydXX)fA~ zs{zgXG=-k1nx)j69x2A6-fcgx_`du*xE_V;S>)0cwgxs-BGehJH=B8T|F znOhaDSL0=%7%bOvYhZM=k#FR9X+4_I6z5 z!`OV-{~DX@tP3}qS~zPQr2XI&x&`qG>PQ1>T7%YxPcYe;FK`TnRYT#_eZ*I^il@;M z7qd8_*wi~x911bXgU+w^^jaCXDBu=VeLxjswIke!vgI|!oS8K3#@Ms8C1Hs{X%&wy zJ@FIJl{F?`6e4hTf$PB`^=KbATS`FyYyr&YYgN`nn za)a@VD5k|U_z_jGn5TFs|H3pG4CbTY=0lY{he5lVw(V?G7ii_lb|rCK!AdstU6A5c zskl;Zm}A?J8VXo%dkAl{#0xRQ&HEMZgqe0!8($2@b>GO1!CcRv(&Henf{|3jxUBXz zJn24!R_ho5qN?Q~w=&hTtiq~?b${Nk$JKbD=WS2r9!S{VG59qJ=^U?PJICv2(qoRWbTQK5h^6mE$N5m@&cWiq z{OO9v!GXFW_)p660Dt2ciK8FA?-#u}^NWss^A?0;qS5?wG&BhZ2ff&vmd;DUp-Cva zlfsTmw-_#t79;HL9IrmYz$e49oMG<_*+Ve-&lcFx6M=(iF)#BT9C5xgT+9|GVRRx6 zP6Q0tbzYVgkF()USioNrL)A*iM#?sdAmbTCct*6)AXg7~L1Ey7W|*E~-dR>G5V>Nd{VbzLs%mhs7}0+}sDk%nII<)m=eddh$-+(0)}0*CK?})0P)=Ne#X)|c z$3ctNA_n29evuWnz(|84RCBk;#gI5A2e`7uyf{fX96T9J#UKd71{8b}-NihQ%?H*ozYXV+o_K#@?YM z#By@z7su=YxU+y$5=e2mh`4&SVwfH7n1ueqaPvohNjM5DQ3!ThvqoUSNnyP~ch1+W z&L)AZE)O#L-GfEG$m(z~sdbCxed<)5;R*{z#@J#u;0g?=hCUpMweNf4fXe&SdGl8c z{ki!U2fj=a$ep#5!OlJj>^Ht;(wrc6w!b9I?#OX>3DV=2D%|ZHue;&nuOD#k`d4)vUlSXjb&k79@U1knXUtsm^CAz1F`Ca$?=#_Q;i{jJercsG z4}o3{|3ZER%@J;4@q5RaIX&@cK4fa)c$f9LAI$B!nLGy%9l8vDL76Pf2!HG_IaYqc zyo?4`y_1445!qE<ORVyG|xMNxWTgLU1on3~5dSPZ(L+i3>)+K$w?Xd_H;*6@c?}a=e~g z0WI(=ysuM`F}b7$ato4KF#AJNvP6TU0o8MS`K1u4Zvib?musc6yQjxgep|Pxf+td{_>^$f&uc(f7 zJ_$|$zaY?K9_BiS%;l;|JSr+T^J*tlV4m4RZ}hD2mZco1ESt)TxHyGFb-aOme&qT- zWMdXfkCZ|`_EdE-%+o-9pSoaj+!+5c^O5A6v;|bb(TGc6q@tFS$jG~~oXWV`(>xe( z4w(9qZn_|qOfvLKtaJI4Hs_R8Gdr~@DPbumQ1>1qH+=N5@}Uhha#`J=KwrDMp=68jCu z0ns^n1>-U2OX=Rwgpl)#F!y9yJm^vI@i2^fy`eX6I9&7?)Zh+?euPj_V&XEEghS&9 zX4gYT8T*59JfJ34y}mmhONys%GaU&RJ+*_N_4|aYT^NVBGw#J{j|$Wq#a`v71q!BE z!Y~iRDe*NBh<2LWj9DN*{TzJ4ky?1G=m#WIQ*C;>J9m<0es0}sG{`g#m1U!OUU6@$ zAy3t(t4y&DCX*`TwsS{E2N_);nzZiNF?){;T~qK5ydHZ)JEz_}5ymUNk_M&%R;GJx zPW>nr<0)ci#g6J5#I7)hAOYM%@u-z!=RJ4qPv+|8FoQqJ#9xpOMPSbmOoNCS)&Iug zYJuC*@Vqzh{gL`iqdwh-5Gyz3s?bjry%-Jikq@OGhS&xTd5D3~(=eoI;$5*rML0(F z^%y2Cx8~^b7!8#Y;Bne;QouZCM!f-Jro;O9&@^hkn=zJ^8ri zJBG#Jcs6Fzb2#4_9L7P9ddX&yp&DKBWgkCt=E9j>rmmx z9NrGOoX_A?uSJlJ;qZ>X=2W>Evwk=p&a>lQUgzP&<>he}?5UX)nB@h$6O*d&?&(u= zcJ4vD%MzWqZfUJ9Y}jOv*|LBQO5%X}bei3pj*~6Am<10e>sGRo`Ex(_@WZ!n|J>s( z?TK%@{%y;DvFz}czH~aBDq+v>z2z+}eP#c_Z-4p^2;2QX2am~Keq#CXa`}meZ~obz z{x5{5$-<@kYqvl4eR&T;^6}$`ev_zQ`(3~A)Z>5biKlj-xboDS-~8s~ z@Bddn{a(=(hsyDP|DP`Z5#cRlJHLE~L&%z4{CE8+x4(PEJ-+|P9(dq^<+uH%-|^$3w;FulyMFQa2w#JZSwrX>IP;J@ zKbi4Gz+-Nw&Umtx{vl=+arMK6hnW4U`u@U~VZWPs#NGNqSGjEO>)b=gdCYyCLO^HU z(K%);2RxyE_^kQHHEm8gpS<$qlg}+VV~@Bnqi}z#sRzsb+vLQuI~jnp24A{-d3kN%e(L5^S03HH{o+e6Kec=(RcLeF@{QX! zZg)}K#qsF!sw*!2_Dg@@c5v@`Pg_H~d%-lgMv17Nar)bu22buYEq`PGnYZ2~cIUxDUC5Zf{X3FiM1axR1^Q{Zpj@t;!xAm3$3E%bx*_2GmGYnVo($s2kAiTk_Nohdm=`WXJ*RP0zI6F z`qT9^79MVX!=fDxV2l!=OCbwLQx9WYthhx_(nx!>P!X$8$vFLjSg{8M!`Df(TqIkK zs%#kyXVeXTNFs+kW`rD_udt{>>UMj0ycJEavQq5ISNS#xFc!2uORnyRJXIQ%F2B4# zNE)FVA$l09vn0Z!0^Q?Kx=#r?McN+l#gmjU}e?BRb%Vfq99P{D##wKmldL>7qN!iO)7blU4k)jJN2^z zGmtEsC67~Jk!Latq^6qY`bAfX-Epgh(tM*7>LA^#Qe(#|tH&qG*VM4e)|Y9h=z_j- z%xF5ws>11&5Bll;1jfpZfWFeO3aN)F4=;m!n7YGq%H+3?Mlhoyhpb#wRR%Lc*8M5@ zGWEqcQ~y9cIpiv5Ew5_@(^vrDWf(|RG6*Ymrnn{g(|p=`&hag#l#633oB@$I$n&vR zYINo|qjSo&(I6wf*^oPS#9>O~tYmc?CtZ(vsK5>6)z2XU!qLU1hWYi@2d@Ic9}3j#`GxK@O_2Icr>PYpN+i22QskCP@|2w@NQm{sd;drM&}$a z>J*90n1}GpM-TFv@WFWnBC}Onyq~%q=mkr|1C*?1Ef4ybf&M@GXUZ#{5OmVQaB{4d z$;2}qo{cfdxJFVQa?kA@BFyQEvgw?UD>_H9j7+zuK()82iz}5@g_y zNQFX^1kBX;V_j?%k)C{{a9r=W7xF-5A*sR{Ac;7oEcGs8MFc~N%8D7o8LTa4ktrTj zvS?CC%-F{r?<+rE@Xn#nj&~Wo^P`-D!Xraqkyrx~{FqemIwdTRB}S;oynIH#KS&-i zyTX-yUrFnb1y>`9EW_5YTjqMzd^pMcY=?}W)9)_S?GZGk9z|lLWw|q)Ls9 z3>Gw&qu7SMagRRuq2sQitjt$*g6Vz8!+PPJhs@mUafwZ{xkoWXfnJm&G$zX5hWt_um~mOu-M^=!MijT#DuT1kMTkc4wV#f zukYGvd=j$tJC*d~H)xS!Ox8&KTVw z1XLhX8Lv5Zo+>xUY6T`y6syZ{Ek-AbNF|?(hO12F#^4HTym_IXB+g+L>JT)jHm?}X z;q^SES6?WIK^{`3ws>b-pL@nx&gyedoO}9IUmLnXW5aOExvOJ1pXS6E*5mlZ?U!%2Waq*= zZ_=YlZDmn!KY!!K`<{Q_`z|j(WnupFw}1Wry5e^3SA6gz>3NQfXD*kQ>gV6!)-`bX z%@6MXI`xQ#DE z$A0$z71C!3>xbOlQg;7>qiL^R1#t5-3$1z8(#Tbu@+DV+-TiCJNWEKuPV?dvD|KlN zmcMiP+pk=C^2&1gj*H9X>n{DW*M0l9wtli-`)@AWGv-3|!ZoQN`)bvHRDR0)H|O-z z_c<18OM|vwh6Y>xpQqmX&OpDd)4=^s_uCl(?Y-mTJ1)NN((5k$KmX`$KP2fbeCC;7 z^Nn&>I(X83kD3d>cRFsQuXhh+LcXrX;7RI=vi^~^3{c-h(*OziGukEVWNA54L72HysS=IEvUi;D4 zzV^kn@)u`2&asl~IJx|t`)y6#-+$o+W!K<`|Eo`}^T>Vi;yd2)>5soi{oK^RNrPfp zV3Pe502)v~D6iLEdhKg3tZ5)lG~hj_rwsR418=<s2%QsuEdX3Tj1J)<6<8o`s@iQ+z)7?I=fBm&ec=In>U9|prpZDZ|2skUYCRd^*S#1XFiiHv5Hao$IsB%-`Ry2A%f=&~HQYxt|U7kQ+VV(9nqx5 z?dCpU)?A-!PzyoVDX>W(mqA}Gg*B!L=4J>{*3(FjgCFVG;xjfgOh-==9Lsxdo8r}19=PrFy}Odqe7Kn>Qt!^ER>A4 zE)Uz{PW?A&L&YQ1G)$!fz2Y3xF?9fHKLrh0Of@}bl~-x1 zWDMI`10~7CP2?~Lt2|NC+8=&3mwBq=2ULbD^TpKkVWC!F6T7PP?(LN15j67W4}oq2cRPyVEjrwhuBiEw8sFMHI?^kg8#>IgFqfK?-PKAaMo;k#j5M5}D<@<0ywSzLgl3>IDI z2`O-`p!H$OF>k4w@t1p0RF-ayg$S)>*oC5Upwb;6m|sZNCZ8wQxXuEl)1Yr=rxaN0 z_VyrV12JonBtB#QJQ@pEXNaHZe#$1<5xpyA?p>ad1eP4+hi&Rfw{u6~Wb_FMU4maNv5OWQ}e$IbZ{X6IX|{yw$&rsqkw3qwC{grvh>*qQ;8=s4mcbNy z)5Hia@?h~n9NygcAEc+tsPZC@W%%0R>+}!;yg~#~qEP21poO_QBa59=j@3&aWVr67 z_j{|VT(O8b)*4S#ipiMw?kwkRq9O`XDNRiiF>u~nCX9nDYI_zl6f@PS5>B9YzH>oNy~qeY^RiZu_>>tn zmlVWZRJi0bX(T8Y(@=Dh=#AIYh}CS~VVsau=2uo=?ldPL;(E4pOqIF3SeaLv3M*Bn z4R}khCOQ{f8Y`tSLR$0!bX?7p5B(Ikjo~QdLFf@A@I5$yk%|lWJIip9Bk{`xD0~lY7`>% zGvdlcG2mv8jgzYB<+D~fee!Cwm8>bgMlBD~nq7hViDk_+?=ea!tA!ZB64^4-sPZnu z%N4aM9lYxH=9*0=<17%=e!bz8egD~ zIn6Sk!NmXWFiW|w_D&#c>DJ}}m%6vXqP6?ql??6&obxJo)kOZ9>B&3!G@hqX4|gCk zQBuY+`%AS|Rn!jJ(oTW(a-xFpHIp0r$nxKaDTw@JQgbb}Lzc2iKWN%@#e5PEB=y}F z%zy0YRTbinCRa*Vgmm8-Lu)8{&!x`3sX(6*9d=H@50L63ZzV4NE^xVCJVJ-zco_S9 zv}ab9?uO7!hAR%9?e;1!%iv;5K;xsEP}57DCaG1jDS^?4~C4Uvx5kqn?PQ^t5_#7FTvCEte@1Vao#Sp0vk+16ffT%~k=+W^=!q;F8Q>Kzjv&$WT?O9X|F(bfb2o+G`jtO%YoDD>e)LcO)ZbtECzmw%Z}z0Y@BZD}es{h4@+XCN@YvNy>ddgTLUzo$*N3{da>vFTUfNHmwJBKlh;fehdN$kl z*xsAWc6%18U$T<+8*ODQcRM8Q$fGs3TRZb;F7Ca{G!v$P`|hbnO~74^-D}JJ=bpR# z9E=yvVt%22g{8Io`;T6@bgBN(?U&Bt5lwS(m8~UC9Q*FgXUaPre)pQ&zkK=fbM0)b zI0kEMFZB2J9BXK$fxEcZ%u=o~tT{DX-lEz^2f3G9{Lc2^p-+8gd6V_S=fFPm<&R#v zaOupQx7NaFw!B5PkItt)b=l?@TiW@yG}w1{*C5UAog=5oIK7H|diO&w;9bYZaf^C98%3=q)Vt5yuWD=$XU!5xHpMVmg=B0% zq~jRpm1r!XiP9?w8D^1nWsx^qWW5N44tD1KxkmYUpJ!!W=L^0*^gEM6+V_o1I=Dh1 zk6py+U7=MgXG2U)uarDV9XY-E2-EyB3zy7o5qWToNGQIMzJXNmO=z1HR8_y}(_%F0 zan5+OBsG8YLUcD$wc7Hn3U4ldTJ*=vWO?5A7BP{CIp}P-wa4gZ~$3s!ey;AuFT1zvv*gAh&dsF%KYRiMrQ_PhT z+18V$x>(JHsOIAqs4f)IMJdL5qiCHq<4Ms3qf4x*45w`6B>F&AR??e|8pxhUacN^= z>%-&P0(mJHHo(_3FTM2ypnDXF8~&;DkVtr1+4+VeV)yI*6ifA{&EM34S2J`1t%WED zv@jieIVBhseG290qC^=5k`$0D$0T!w73AWyt6bu%WSE~6kgMWZKx0*F{MffheaT55 zBLR<&FbQOpN7o3gH+7XCTcpYrU2O`In}<@Ojdf43Qe3x^E%YqfytUPo$`tz9>c`rM zMIkvFLLPi`4;8(L8&KMO2L7f|1ss-gi9+YZx zbCa{NaFDeAaHQx4JWEle>O%q$+d{BmZj$MCsrYGdOEpkt;3sz;=B%n!S)2(u z)X0UBve=W>^;sWnZVNQ>qdK77x#02fF%1J4Q<4+|$WZQR_YUSMFq-D>+3?1RFZScX z)P;U8f~z>VQATm(P$1QfEfJ0Qsy8O}lGf@;IKxhK55%H448@rORBF=+x1cnb1|CgW zJ=>`=Hx3Jrp9)9atSN=iR30d6Ag@~aqpDcoQKE_9pt0>yHs`y9aG=sY4W_brF%6{H zrjxpwW>e-VYdxN&0+98Zo?t?^&EP!wsGmSpL7>Q`loqkdCNs9cVi(OS|7aA=KSu2~ z<7Ra1ohZEUM&q6jqmJF!HVpX4;;=z;goX|*G=vje2*J=zBi%ddUPmq6?hTdIteB1K zuZj^XX}!z+W>CX&6pZWi7Lm<`IMYKFEk+M<8&c66k%@HPK@P>R$m+>ak-RLxLVof- z15U_d%XgJQ<%)fm9-#l|g-X{uhfhCz59|%gzxnTf^}m$!n)_GQNB%Rv@MnKk z_+ME5^skovL+%@1EBl^cw|~`f-Jb@3jRPx?pdc?fs!k<#UtobNAYB;N**?f9%F1lD~XYW@-H`-|;Q~Nce|- z@UP#L{oStrk6*g>lC8-Vehx(U?&Sq(aCt&7R5*uki@bbv`RHHzfj|DwME>>v?$=w- z^ReIX`~RWvlV9`V_sYKZRj>K-i`Oom(xBaw)?IfG@c8Mwx6U7^wI}U&xJ>7{u)g&{ z^^n`IO(p9TsMp+ikb>KqbC-fi<#sfP2#5 z4&lGxUIjZ27K%0VnRkF0JimFA%LETaU#zXIlB04&e4$MU#wdI0(t1gPuyRoAU z%QcKO*vtbw=?TWgtBX%aYVbs%AEa<&jEo?zuy0!&!fAaM=D{mUK=>&JzXHx^t_JtU zpw)@#IafolKoR|cgOHu@$}C)*M9gZ2zX24aMua0$jW0LcVNM4So*|wiI|jW0V!6Ks z>c5dgY_9eas-GWT-to69!JXdG*MFzGA>uSlPPtEv?xdl^6uUOlc!_;IPP& zLuL}>3cuzS9Z()_K(xG!eNDXRDfDf_nisoSLuK<%y09=&g&T zfgDXw^K!cFfRuK!Rxt?VN~I9YMKstEvS$B#!8VN{4ycu)Dsa(btzn|ts_&{I(*4Rt zMnzFLKS_dN;2X3^gb}B}zLv|98#A@V>#p|K&{7VFMOeDCm|a&2%L+=fXh1GOiHA9& znKE##RVm6KkfT9907GL%U381Q6?ny*##B@eQM?AI-#}V}&|*sYxDL%zV?~v#_L*<8 zRpO$19^jgCd+1G|!ZpwV6VzAu#JZc>7$$4e?mO2ikvDn=v*5P3UX?ds-MUygHWpy5C#w^WJLBvP>WFD?3mP42 zYZ;3mK#OuDKbZ;Nwi6Gc8BL8XGcT3Eh`4>LA2}n(GQ_0^{n#RFg3TF!+R3z*EJp-s zP`5U)O2O7S*HvOmRfWE}IkxS@GvsAvL)<2WaTI6o35Mb|^2FS`>VFy`4X&^-)E<0i zy>L8pRZu;c=ap3Tc+|D4D29b`wubh;7Hr$1Wdo+~`MkXrlAzzPQ<$j3es-Y_4*7zK znNo=YpBxT*$I6yqfq3_K^Lp zMiw@h0EeI)SLBWz_J?uA9#X0xk{?~fg{kR(5t`QxOsb&zDtf~ftpOc;1C-nl_T31D z4>}sn`vY)=vf7Ajive6pXtI4st_rNKsEB6dQH@to!IItu)Cy0AQ97ceV!&R{qCv(k zC6gd;0JCvy54qU8t0@I>KK-=y%EwA^(}B5_=+j#d9umXT4ZZbm@zxe|f(N$d;9bID zywyr>>^4LjBfB_#!0=S{=M(|R=M?==W>75FHrMcmu4i_ZSf|!@^x4CmXE)y zMbEUK*neXG@#Rj}7igJ2d3%0a?hiBT{>{ISU6BB_`R`AIH|<^7`?r6XFa7TT*Y~gQ z|DH26xI>UHkk|5K%TGW4_~U=^5%pp$Vr5W?4cR&0i{_E>+EVy&ETh)-w^?P4?(S6UBJ*h3^18L5^`O>yM zN9VB%yXzwk-50j)oP2Zc&b!|Au4~V})&B&N+`GT|;eRT-1(ihWzOzlj&rCZTFx~jT zmDgR_Tbt3|mV^fH@;~wHTSb5PpKfaKm6x9U%5DC$@pTPS=O^*q-oL&7SN4W`w{Ndz zw6`U^x4(2B`BZ&sJRsGEZSMQQ-+1MXSN5y@_v);q%THcDcV7OPOH01$z4eK0*`EUq z_TIQB4W3+Hc9&oOKb)(9b6$~08FHs5y>~?{jN+JI(3&@6^U!(gC7+?6sPX0)jrn~&#}lox@xY+OLRbtAM6M{*<*Y^}lXAfiKhWwN=4QM1^z9k4e*FEm$aH_{d&j>wN_ zbrtFT3UOOeBbniKZ=V9Mt%N6$rZO!A_JksXG?fux6u$~Zwiex~jhlJHcbxaM6Ffi1 zGh9#T63_x{85@m~#Q&03K$33LPmm7L$_fSXIc&tG8tF zqLaL=rIEA|7Rc!yb{}8GM-OdC8ziNjE-*4{j3h!<#+3wtd9DOGR*4v$`yeRd>{zpa zVjoLIS8=&8k!j!?8;*6D)87~xGkOykIpikU6sn;_De~@X<5SVg2#ybHsC~< zPnRXCP*X`irP&~~siG8AB7n!QlB29#E#Va1j8gE#u7r3iO7++SmmkC9vT>hA6kfiS z2a%u_OC|>4&P96c>6dW0nbd4RTfH!WU6PDw@izB}c^8bsEeI=#8XYT*s<6>q-p#o2 z*mrA&7PdMWzhGXJK8^C!f=!Y25kJOHb-+%|p<5(eiKRu#x(jksr{XBKO1Z&T7dp7o zgX3fOQ}Rtk8)62XR;&b|q{59H(J~6*e%A7r6@lX0V0{kGp&QM?#>BO>*hzGR-2%G z*3)zV!3MLP<5?j|%A<7R&6pr~0UB0RG3ZIUQ;Pzuqz60#QC3Bb&e43GH><*I8570G zRxiNSq2M=7mEE$^{O@K2C;8FXEVImIh7!1fdc+P#>?oWPzM^;dYGR%Tmi)c_TAdxY zg=hcGRP<}%Gv_nohbHl1~NA(u20u8XJUoRTp5Fvqf;v>F_LT;!(WP-tCkmf%zlv+idtbBu^_Qk^KzO5r@X ztw7ogvAwRLEBb&27C-Kmj?)5I?ZD;Xl7RFYspZ{eE~@Fn5?>*FYCfI8hFXD`cL*NC zutb|)#8c`=9J(E`wC24RCpG5*CpwmasUGE&OQS$4gti!<$SXmN*heyeB3Uy1*!KoI z!*bAL#XR!$t`#)BbX=At^xDxZif%Y`5TNO+<6_0XQ5;#(L0q|tw3fajG!B12vLd73 znFttW%js9|VbB^}J4QR~22>T+@h<4fk*Y)DuU+BuklgEL7W6)`&iSne@tCDvys-!u z$e!4W`nPnrIU1u|S&xKLG?OH$54!6Ok6DS1|2h%(HlDoOep$!tpS7vCZTdFe@vpDh z74d?rI7jlOd+hrVU`sL?E(Oy3-@247zD|Kt4ry@FozYu8#n}=}bQjlim%jB|Q370~ zW^bWK*2gj0aIJmm(xr{{1-r{@`_H`pnfJH;>-M8>fAsC^cyf1<8@jaF-%}bezrWIG zQwADbTBq!?T>7gnebol7_5W>Gf7?~{Xn#_3>VM?In}2erU$y%$w{!8;WB$!GcUwzu z!e%QR&fWe+o0Ye|vU9K5Yh#d&gx#iZy?KIc)5m`P`RAAJGwz+@RS!@0HpFV1vWYiE zv`M@xM&az&w?9n({O%guP1q~b;D&0|9{X^A4&aq(pon*`e3-zWJ-kv4a+Yb-x7+vw z4z&<{^p0Qqo=x72y6TO>vl%}wkkp=>@;>t;3jsyGHJ2LRHD=(daC^yh)5u&Hm)tX_ zPEj6_!C(i`@6HZy-lk|KXS8MoO^cB7oef`& zV`?U^xB@?2e#*9xwMNK&&7~cVW`xyynO6D^-*2zNL8L$KA;5>+zz?@E;{f_x-sR$> zCm{!1If6JS$I}S&B3P)dvk;8b8q!vAhb*)t>?!4p9u>}6OYT{vS$|nerM0efVib)| z$6z{{s&bGqz*MZ}61IW~PG;#;c>P9KG6rhDgkl-nGMARt7Q?n2S;NDy1j`4a4w*+8K$A3cLbIcGNC%P3L~dXLLz*d1gaOH1 z;l{~|21YXQE_}*moKxeLesWcYB_sK`oIY2c#lyx&A{j}WWyB|_#>q}5I{OAsNp3yC z8hKDifHqku3f#I9tZIUq>tlWmOh?l=jSbRw(e;jEHsu4?h9pZ}vYNO(?!YCxKvil* zP!z2;13p&=MTZE8$i%X`j>Dk>fnr7xjtpetaf zc+$9x+zQn1lET1Utq+{tbXWz-fKOn!gDKR^6+R$fLitiOHRd*?9uw-a@sz2;jBK{e zB)laS?l4SAR0}!T5nNSAcOuPZE=Cub9jqwz1vdmJfFWP3Eugaz3!{z7qn)aBLR1E; z7}^j8N#@s&{c&7dPuz=1Y^>^>1z!>NlQ@oK!1#pj(1Gy8fnbnRpb@xI)KLtSM32-k z?d_qiSB14;gLue+wkMKofC7A_9^;TzJcDUHBf~>Dq(Tp}Nj7KbEL9{&0g(%{Ce)h6 zGZc)v3pW{^!JpMoJlEM!KsPh@)p_E4*bej$)d2P}%7mSYx4*8W9 zTNAXkYApm4a90Wxc}SF&rLmKStf0#dnmR6zz@@6KoN#n4F`bJ5KH71v12N4QTH1nK z0b(g?IPCQcj>*CqXI_*oP(^@$d{7(~N9i_$Bia>Za}F_xBPRgXL-ZsHFQYl z5Zh)`TkB&tDIK#M>Y1#;U-{5a+sQx=u%=BKT)!UNcYm0Ux=UTj+g-x=3O9T%G>BUo z{Hd>b!>L_;*A)B$Ixo83MR${nuK5Q(;6A{H(oNrSwIcYfZ++`V{+W7E)T3U5)08z& zo)*hbvLo?o<@0a*^FQ;KsO;{_ZoSw0aJ>h!cOSs)#15pDK?q?pE>Z7=l7hG9mImKB z`rAK=7Y}3iV14mB^(}Zaf4!I2_SBG4z+*1a6V{nu{@AbDwQtGAk%>%yez*T$x*zUX zT1PA4u|2lxH(srR zySLnnFMRCND?;nq)Zm5X?H5{~*3R?%jv7#fmhXGtT{U>s6GunhM4{-9$v0fbtJy+j9`h?N!@xq~dCdky%^IS73f57xncERO%L9K({ zRcn;M?UK79+LBlC(P=I!%*dQU%%a|+_SPuo@@2!bTsB}+Y&${CvLkfUB#>o=dG>Ag z*PQBs_DEO@c{VMA^YrBt6j+hw4_6CbjR#(+nrP2_(-1A8c`37ktaV0`jKVGITgS>) zLz*VMA3)kE#<&lQwxbuI`5ngK$%^24ANy%Fz~0G2?u$ZG`31R5SPnUz1f`PszS<{kR8;R%`|mp%Wz1 zM2kWNqol-JCm=J%WYo&ZXl|QLbz?eb>yXADB%kUL?F;&}tiokc)yg1BB)tdBN(5z2 zE2gv};HX>Lx~pQ7I^t-`B;f2o;}MB7$q4c(GgR<$5fORnha=ic#8|;pkzC2+yT&jH zI5l*@%I*p6DCkjgQX%g612zont0I!+S|_QlWQFRLn+_&BHRUydyLJ=r`Ah*fQV6S3 za&d5E-X4yW(q4(GQ8Lk@;k3y_=?Q4~EqBOPjIOYpj36bK0V#$t z#fF=B)d}!)5h+e~oS1?kizNrC6g=`Lqm#BBeB^7>CJD=8vOBY1^;0lW>X?elb;&R) z*$ZWCI}Ic~M2<4nfuyc{Ej3LZkQU8->qic9uX$36P)uq(&S4#tuQeB9yOhf%(h-?- z!rB0pwhMtHi6`pLq#F`BmDB2V{pOYjQgslu7IosZDO1xQsqrS5%a|TV@|a5GK?T0g zC)hQaF8_jYOOB%GflImIJeo3_<#AGYV!3SAiCOtPhW%2>5JU!6;qQSREED znpToGU{JGYx|&ZaD%@a**@Ad^0W>H{Xn_rk8EdQ!%`j(mPVkx&WM~AwCc)~7buF-4A zVRQ3L)b?7rJYw|JsE}}Sgrhv@SIQ7dBFk#Up^?YHm1hO^kS{Z4ia9&RL>;j>&(CQ% zpPfA`1^_v|w=g}R>i!VqE}J-s1{5Z=Hb{$4l>1hL(nDP<&(wzw1=Fa#%058?oe~{G zw8uFj5IoF1nHC|bE;vVV`QGSwad2#?C_G~@y-#k;)>Q`Ai$mu<{qD%7i?P6K5-Zh< z0=@`(f*Dl|{b^sK1pVTOuf>iPVOtG@=zwXpb97WP>`$zy1(cI8I&kb?-j2fR-c$XN zDi)%gjCRI(9^ygFiKU;GzBrzMi@^JY`?w5aZm@{Nh@rzEyi+MSU4qs=v4ZKJ%(BYp zW@JaIHig~}lSv}C{8_3r*+PX*c^#1(wJKF&SR7O?5R%ppC7V%UW<|~!BTw6mx5@g5 zb5Bd=M;=U)o$RZwTXk-D;x|6S6YDC3NxG$(@G^ybV2Z>>AoS~UVkeM&r}elWR8NJo zTgBD{Q4yL`E&TPKj`})lT|RjB-Hqk#=Rf}OHsneMCGzo`&+k9^(r2Et)xcMt>Ug#s zLH$KEc>nuXC(*tPi0y0*)&)odce5=jg;>-0cKI=Pt^SA4EpOadKDB&wJzVS7eD!j9 zbuY~#ujD*;WBFX-k-YRpauEBfy4v#%2!4zi}p;Fi^F z%~xODySn!}&URY&sDOmuO#_`l?H*M{O0es``m$V0E4`1JRKBL(b&tK~O|>fP)>>~z z3)n(F=5}lA@m@%Hc+IZ`cN;U`Y6VyHreCApwC&KwT>9|3e~mb)k}+Zr$L`B)23)5i z+wOwt{(ku-cFf~vv8~m(2B$uK;Jxd$^$lUYMCmA6!W;er?Im~i96da@Cm+|D#%)q0 z{YFzYuDN&En21iAayN^=)k>7T`^>Haoe7>tLRG!A(ck=|pZ^}OmxG|KO)y11c%_uv2hch-O-s#vALD9N5Q_%uSK z!G#OePrUa7qVv94)4)3~A{*)&^o6Tar0S_RrXTpmB}=aZUO9stFZ$13udpc_u+5<7 zzV_4Loto7FUcH|^_7t<`@{-n>B`)60_^-hnw@-LP%Gn?p1|?=@u5q%xUI9>Mu5u9% z^{`cmQk^#!$PVEZG&Kzau2aymp7PirL@-^A{Kg%b12^J58gY$J)1PT7Lm8tEhgv(L z2%q4~AVj0wj0OD~Ay@l?YNFn7kw(mOrX20taHq1X@J+IkZ7AU=N0~^(AyDRrevmnq zTfV$Pdt@o?oO&#hWv7NhXgeDwD%+(KhCP{?pTI#r6jPo*Z7vX=R;j_TRVSfA@jLh< zaV57F)=orhP$EPeKj*xvl05KdKBj6?hALyc5R=9HC@)nfn2x4m4p9qG7BO_7s_J@` zn(E_LxcS4tcdccWkd--WonGudv}mhaFv;92Uh!xILreV=pdxOWI>c1HQ*l>ZDn^=z z*cCoXm+-)GypsrWXK3^eaM|`$$`9&6)IAq)18P%Cs-RbsbnZO{V!(7a2!fg7R3Ey< zL}YYk1&XVLK{GsqZ0v}Gt}fYENz|~0msDu!0RZy=@nkW+G>Gt_7;tG+p&XFmb;M0q zd5Vv55kNh08x$iJ?UPSa4X#wmg93dLvjWhOBPD~GQOa7;oB@Pc0h9I#Nx3#5s&sF) zEK*X*F_~%>b1TrcCKZExJj;2a<;F@Xl#fOaN|V6=e@i&EhaNOfz~BP8O1`KTO!BZ} z9CEu!2pmQmnjqfFL{)x*Z-Ux#bK-KOodL6srbns&!Bg-Z`6d55o zbWjp9d&-#qK@-D~`8P3ShwzpQ>KcdBmYkkcPkOO9DxHhbfe#PjmjC=976+aGj{IAW z!;%T;VQ;}nvd4*oy|#x3oqv^LnD-9CVJAWUr7Jiq3A?PZi}G-Bu+YIhApB!qYAB-h zFXb=3&Y!GpIr>BTnvoUAi^T#CD%{+Ylu7Gfmc(4bl) zzaOx}Vt}q;hHT}3m}PnLA2$93TycykpRBGIG#iP5nNu=;!u{GxK;nsF7!$c3=oW*% zFxTdi28&5yPx=lQHLUy34!DI@{1$4BgY$Ujin`;-PD!Ym7|h3#?jkioc|dk9j(L%g zQw=^Qx2O^wF1j*r(|?^K_x%lyp5K;m&~GFJ#b_}ow#ZFVjwHFaploz<67@o{hXMW` zyc7?a});`<+b0Hzc@JrMD@BZkW(*4hVV{N`w0y}20h=p%S-sxv?aOVa3HNmO zZ?S?FavF&Y^7k6mFL=a^on{v_{MF}ya0JHN05i2j3nC@v_QmN7AH7i^Ivz+7b0#Mc zo69>_HEzPnC75y*=1NU#b55m0`>U9_Y2Bhn3)2K?t&I#ZVn0bDQ57%TJTYdGM}|ZQ zqSF}ySK*we0O4`o z(^gXBoQ9BZ^HLrLUZ#AB#^L}AdcBaObXm>?cnoMe^3wo5u^CLp6Pk4WldL$VQH&t| zWW7E~P7ttYal(avLu*qm&IaV-YB+*XCu1rE5Y5X-DN&YX3CEPWt{w(`|D=&n-nrhI z&7uP)wy)fvlcZLgAbpy-su#|AsJ>Nz7}N}xI<@_9G@u&Fuu)v$608wn^@yvPbAjK9 z!YWR{bM3@&^x!E5%SODXPV+hiMKmR>?wlG^E%quZDb}hrTkZz%usf)r!B2z^T~)Ta z+W;%gNK8SPdT4;^bj9s^kQq0eW2nkFX#!*s4nZ{2Xlh538X$R%iA9*2vw%l~|)HHuY@;y1jUP2T_>LBVPNMQS(?8DX!ITFD@3VjWc|DNN(xvT-KoNj-MsOzBUcjy6yw43judIwaQW6+sdN zGi5+14EJOaE;pmem!UajIQ000Fdehd(e-=56}`fi6jZ(WFnI3g81(2W2sfzd(JFlf zpYf`9BD0EBtZkbx=Yxd%MI=#4 zV#|_GxxrwFN{hS63z0EGSzlBLYr9+WF=&J&MBVTT{g_>Oc!uLVpoYtow;X`}KOg;G7rF1_ZDGM+3w~0Y|q( zBRF@*C-RBCIA;W57`0sAWQdDU_PoS2NYS_$9Z5X_Dx_ za$YagwFz9dcz3#yb-{VJ62uDg;6knC*nA2)+sQJ2JUq@8bI+KPR(v~GQ5$lUK;T(b zA!q-na>Bt7MOD-xuc?2k?X^Xsw;iDMIxTp^SLbAFDKDMq7z=J@X2yMfG>wI?Ap#+q zh3RpO5#42;dB})WS%m({VnLxXN3XgQAs>Ze6d3WBKHIQK(FX~HI2s()9InBWpkL-O z=iAyOh`?!@nLA@!5U(CW#+-RSylQSlY7)Jf7zjHUPsSWx7IZgk!#2fUL-a;Qy)-5S zuKUR5``fSxI#Uu^QfEakcxjLg%23Sf!-AT@te-v8iR|facdAxeH``|fn+MOr81$_A7OVirtg--NV1J|rzowL680sj5| zSA0dQ!B;K_piZuvOhO;md`dRJ6m3weqrhp_`dH;yu0rD z1ONP=U%K?k>+C-5_TTW*8{~Ud_$$v}d49QM&#$HSzrJ}>T;g3WdoPJ!_!DP_TuIYX zoB;aA2=%}NNrNXInD1P_KABv~Hn6y$cr~Vt=cj?R{BezvkjYlNw16@oKmqSl0i#{@0f-Enjy(ffBD?;9vdK zmoK*=mHTYkbb0T2t+2gk*mXBzcRFJqJvT?_HZxlm?GpxW-)EeQ59@&X;-v zr%lZ{3jAlZm+Y7|g4N+=&wUK)2w zni?SOI@iS4a6^xYFKaWfGCUb=#&}oRPg0aasea4dfR~Mk4pfYeXP*jljv4qVhKZSS zsXLwuBGGDmHlPv~TNOI5D60J?mx*Q1xqJoE-R{ zhA2`hu!{M6rhi14Nz+8#e5!cHDOh6Rd!gjVraHDe7tC~X_^et(S(w5Zg(`#@DC(Io zmcUlz7wusXCEWLetpQiJNVE=o=h}2+(SDmm!|u5lR$(JfU0qt`ZlO(ohi92cVdg?H z9Cm5TYL=;rr?v#iPDN+-blL_#!_Hvb>c(|q#XdSbp4fRwP1tfg4zySzA00Oovqp@P z)wn_=^F@jL)@?)1GFTd`y?rgxU<~xM)){9^Yp=~r>uwG#=@n1x+D>3O{j+|gy9PaA z%io!es)mYmK19S?O;fE6hPXlji^#KKq*1O0>Xcy`@zEv7mErW8LUXgZo7FsubOmX*BBO37W*c}~KhS^}Ab1}M@@WwV|+t4uh zQAZ3Ufw}CYl2OB86#9drD}@~v3^QcP+ltZ_N3#MqYKbusvA!A`SF<}+Tz`wo<22YN ze30AJ)QJUI=HTm-5U2@>iWyV{M4Wj_p2(Wqsi8U5jLa8uVOtSc?xiIfBA*3o`D@

I@A|;Pp4k}H1*zjGci= zo#mYG%j|)b=eZm=-IbgBH|?dT1WFZku4U^IjSR5sm1F=x8(6+$*-b09G_&ubfp}UC zHp1OE@{V_`zU8&Rk2Np*$J}dN>H+gLs`Y%Yd?@vNuUOA_W2fg2*5^k|-}udT>T=ts z=6lzgJF{wn;QznT=xtG>vJTruGw9}TWbodPZ{m%JS75D6z6P`-Q;n$DisX>(Ee-a5 zy!HF+?3b6ToxoAxweMT|uD3$;x`v-!ObUIbw6*iL(BL2509G+o)ppufey_xJ_KJ9W zikLVw`11FxYtTNu^+a~tH>_wasMqToWZKvT6opCQ>H*Ba>@=XwnO9G=zl`CNuC1&^(A|7=vM zEO3)I7hRCwJ={O*<&lD@!Dpc1KmG0xPCsng@aH^e&I+)h zRijQT=2iQbNDi#}NMG;-pHo%8;Cj3o;j20DY7V@b1Fz=5mrM@OT!~*yW$e`yzL**i z_xz0b)yw~-4rgS`{gN^Q==$Qqs|}zpZvOxOF82Gb(svpOc}2Ms?l;s%C-ls~>bjoQ zeeH&G&J6Y05XW1A+dk6ST5K`@dyL+_EdD)C+$&T)EsR*@%*v&;#s9uQd!Mgse{Z_C zAy@arYN^P*NqCj(i=PACOv`<%(uQp7dirGQ3sU#n=26=YZiZy-tBd+= zLb3N2?A2D_z2(!FCfEJ-WZSmiXOosy{~<}a|DMSG#$MfTfLHm?$pL>3{S>)<5`8HQ zu69aq)wJ!0{x(jcQlGcqtopdWd*xJ~d{%@spqI>7AgJxUpALGy&uQK-KIM!ux<{q9 z3jdx$#l+S2d|&ws`krDti>cN6ixBl$>U;^&K8sh;=O{Dd7di11ZAwf>M!A&evm|wK{`slcuc=)S3Inx@3 zqdB|4X*a@7*~DlYUz`zU#K-7tL$?;+CezWVI_8kG=Fs7sT~By4<4=F(HfMHoe7%QditOKIP=kw1HlV`o-b!nY4r|O1ragxM5?|9Y&n%n!M$9YzX4wY5K*zz!sHn^*YG zQGVQyy-_buM?sv$vq_6OQ6z5CHZV3;7)5k%vWbdzUZu8R8AqRHA>Xv=41-&KRkc1>{4RQtF0_io(CCm$qJwjpf=@QXYEpIN&Lwz*E@Z{L6WKBrclyZ_&B zDXzJ1{^sV}?%Lhl2j2L`7v6YUQljXC_l=L<&tvT9NrQH8#g5!LuGzi`3Dx@a7dU45 zjc@Fqt3kZ(9;x*r7`q2*?LyG@rFB+zJMx;uwdU`2SL$8gVyErL+}GE?o@dEp?oIAD z+51kXY;QnwXV`JVUuXL(+;(v}Ih_^#<$E^*-V<_reP_dE@5&j03VRZoM zHFpefU!M1(!L~rXwfzQh`#s)xZnhvdLfiqNYvIxc*LpAUiOQtC6LMz#mnvnpU}`y;hxrH{A-gCdwq)D~6} zL`nVb!-8j4d^4Z5l%(ByCs{u1Ki4vx zVY4%0+7#;yKamg~&+#%c{vo@gj*`)-c!nt60)gtV@@ZOHiIVPerRo1`?`r_$D60Ic zdwQ}n%fj|R0s)e2jYf_B$+_`FQAf6$ub_XB%^lI4AD0b607XdnGDt#dXJ?aH<^Ikh zdT^rGdxt0g$U*SyP($ot34uG6aC(9thsnV~6g@UV2!z1=f3K>0rssP$zQ!}jRabSD74}Zebihm43Z3qzw9y$L*m;cFV}yf~F=f}d+?S&~LJ6T%pc;T* z!EqG~9%2=I5Gu5;vM4`~Mr2d2LZ}FpGM!gpYO$newXP^dKo954>)SvgB7tN@B_s-X zgJcjEl3GLads6FkolvQ|2#RPi#VqaJZMgoJ51$SSr#-!JNL^#P8Pe4auZ4thse18ys*C;SMCS=b#_8^(P?I+b`sdV7wjgpme02s)pOvVxx#!8u+so~D!EaASftulR7o+MVG=dh(dDk0>cA#x1|_gTJ7$GPeWqNgen z@;+_0Nl-Z%tno=;?dY$B1f|LUOt;-RUhU}r6;29kcM@1Tdb676Akb^KYREHJqCmw% zljDyW)f{0A7YP9UY5W2}3f%5+<--l0%2Gl|uki)qxD(W1!(Kr>zK*d0Lgw56|Ei(r zx%kQfjW%fBhFsYj;x)aSIGue=Q0Aa<3b=9-OTMa7MW^$j!ZV@*hFY&l^@5G&fr8x9 zVX6WJNOt8d3-w-AV<1~#XJ%$v^lI5bjG%JTn2J}skcDHWNMZ>2Adr=;F%Zj zkcTaMYdDlGoPdX#ARS-T3LMfF&9@F3TF0zq3lVkPLUD%0YtcI8XhWH?S~{$Qh#|WgZWU4Qo2DwssCi>@u!7k6-=&1kCbvg?G0|Zo|MM+QJ5k`J_mi zg9|=exa+P1J#TwkeQNXd^|&bJ=9|6E6u)xQO1UfZ6E~kL$*=qSYc7rQwF^I6m_NUX z25k&E#5pJFH?b*;{Nt`UZ6*1ydHsx+Zx|Bvp9TX1GoD?U$<)sZwbfuq3`w42*`&M= zePR4@8Q*F5uWFk(!qDF@HHct34c=JTTp->(o2I@-e(Ck!CXYSyi^Ha5phE{e;v{n# zJegK7%Wos2KcF}B+awRjbWYo*=uBaNpF3ynoXlkJL!C)^Ib2JZW-^=9baj=OIRNa| zeGu)VN=ye4OcGK)4RY#XFTC)=k2?_YGFg@`En)68x*K{$;CH|K-Rml`S1nZEJ7Sh? zp>Z<#V>D4kcX`!U!M%sp?2^mja@)WPKaLY|@T>XD<9Urg^xrw26U5yDD3g{TB2>XB z7GgL;jsGG^g26}>Qwe7)!60PSVJe6yx6MO5u&xZJ40y^GAuaG5Sh|LzJ{qP`qZ^`I zmAeL~Gg`y(bAl_yFjGX@!y-A6LxAQVX$F!cH5!-#EkVG=uQJHDC~d+|OKQ}iRfzyj z_b;Vif{rsW92SS4E+m=YVIMV#Bb5orr8z9LmBs*z5h>)8ciG0AQLI^xP$>K{2NeJX zVI2>D3_ohLWDNky)e0P>X7X3hMM-%Djr=&{hQuHPAzuy%I*f|C>UP}5G&Mu(=dmoy z;@l~!ESeBjCSlD$jg5>lxdl72vSpKiE0|D4lO@Pe9DkIXkUeK5j|Nt)fU>oy1<}Y$ z0>Vvzr!jMecEIC2&B24$HF1-SZTdjc@Ik#U@o^3~!*RyU!nt7(SHStuS@73DP)^tj zv*%t8oWP)9WiY*Ruo6%uM;V0|%(_bqBP%*AM~s|75!JTfIfMYJIT*|mlFH#ap&cZq z)`LBVl7!roeH4 zU>1{=oMu4K3@~1_ICzMkh781?u*c|f@Ww)cwf3xKoNeg&TrBW{l;FI7&Tlu&m#R zg{-N7TDxf)SsdVu#g`^-euj^=xwxJU9~FqTxhzXjssjRgDljW&;!GT$bZ-dxF~b>8 z<%{_|X`J(GnUpAUl^UpGCzn9ep%uB5N0HHGYsJtCa^uW&6Aq)RgI?(ad7`i)1a8SKJ*k4Ft zhQE{J$OpRK@>j&QdpFDAQfR6{iP8Go<%3kDfq}>>OPK|P#!GuuaQS)5KSrU_iIe8i zrM)-?yQgO<7S8tKR)`af+1{Rb&+YNs`5%ZqG`Viw^5qn61iigD z!-_2*B$)#P^=E;XK3}>`rCa{P-o+GpF;iq1>s4vM0u5*d_QTJ7Yd`T_cd7j$@;?w; z$`&l>?xt`f2*=LwX~sz1cB&Zr%dsF7tl70!@T89?HT+(7rcBwzXfgDP{w_wVe^Dg_ zcA6c6cmv6j%rYp=rVNTOZIFY_!ICU*APcRrUJR|tZ3Rk$HcSl#?2Ye@vko*^zkKar zLjeI2Evk2_ba1PK`}=YIMGA<^GZG7Pg{_)i2gF0~52o5L@eRdA{0APuy`cjQdV3q` z6%q7ug7I9C+K))dnRrwpp2HuS7K|Sc8Ny>N&r>s&7o@tT%j<`7n6dge*jyL2EEu?B9j5TC^Rgq38eL5;| zIl{J3i;b&4lquU8a*#IjWh?N}a>}*O8F4t_U4DAXm zb*y0uF0tX!yp33@nx`d84YsiDI7&bzVF!6um~}{7KwCgtKwCgtppgaW_2&c#^b4T; zI4VD}>0PmWeHOCNv)CrG?1Y6_Fa-yU8+fHgFWKqcQga9%v|vF00D1&a2m?+0^7BvB zFmP7EaM0-YvVlW_Q_+;@W zX$zqe3NaC%GT<^!@8Relke04;sCsHkljh1O#78uYluQ@CY0+B{8aL63!9>h49n9fz z^#2^Z=4%3F3*@U9RG#D-!LN#ph~$7GLJ|HOfAbV6TvZL0v<-;XJweg zs6j7f7yc+qskaFEfZ%0S5Qzepk#aesq(~U^EEH?VCMiTM14l?ujFS@>1HK)OqVURH z{zki>Hy~q6IJD#uoPL%QH~iZgq$Uaz?WVQBM48n1%##tZV?;Vc!eaU zX*kQ*Upi*dFmvO12(^8dHS9zpTPzr~_`<*%dA!r7TR%+!n8o54L>0zWjO7e$%MmEu zQYFk(*|sYRa;^>aR|~nKjWv|8GtmSl_=R5-={Vk{rix}7XqwjmiLvqG$b_%r@}`6} z_32nr28rAh1Vp6rSUW&XUWhtAF2+&?mR0pDn;DH7PZgCY7=^w>PQn^(pqNNa6z~zKpjqW{N?i@bygi;yQ9D5%5Q~12;Kf3U z_EwtkF=LELULlhdQ{xV;85Sr$VG<$*1=<5zKw&hN!;BcqCtPLGXe!7}q{j&FGY=0s zlNIfBHZ_W`4SmNN$Fz2EF|wk;Z55}vHq;5VgU+Bl6V_x#tV}QOa}#1hKg7c9EldGQlL!1-UhFZFVJoNbe*U71O1&#rVxDOwn<|wqy>y`e z*=K1+FK52MOf*Y(%M=nt>UD?O7?7FC6$o7 zw!xF^R9ZE|sgIecO(yAQ(*4b*dknx%^FuJZXW(v~B)>eXr`FsW?7aRixr5INX5boc z3&$T{nrg+=n<*_F85xPpRXxyq)>)L|%ri?FujF}QYRuU7hGFt)9=D%89Z4CbR>H?0 zUzMyv2tvIXwiI{bh@460x2)=gr~Z)Lh~pufZpWDZmiA!Cg{K+UVA20cAJ zw;gz?l5BbRf(6#u*4apwVcDsc8uVm(+G4Q2_!A6-MEb|7hGot0|JRi&$=tPEQ~nX0tbg^%hiy9 z6nF450v)XKFoh_jmxUkMBadH8Fd1j)1jz(t#x$Z~=^Tj-WAa)o^96yJ@@X6s1Z6jk zLv)8=dgT(x6iQ547;xzCBp^SuJL#job(EIy9GQ$(PExf>ZLPe*b>^zJ(0Pj>MS>iV9IJh@yP-RBO~Rij3iaH z28H0tYvHCjo51oy1!*)plH3?nsW5Jpu7!^r0V|N_GyOeDflbzI9S&keNrmz`&LNOC5v;kekAth~8PCU&F&II>@~oZI(TA$2jVcrSK96??gtXaY4$) zC!3%QHF{6bku*b9y-_srK8@bwbPPUjJaSE}ycB(w_vqH_cbq=LxHEV|y|c;aJ;K@~ z2niBGKZpjq+lH|={>-gQON0CIiP>~O-jV$SckZD?rJtAnemaS3d1r2An+Eqs#|5<< zb#a+LV>|A|N?*X6?|$=->UgGsz;f9P{;nWs?2V zIu6+ha)<{Z>El^Jf8kZZv*^9iqe~D|JCJ$=ce*-WsjAYqj@AMp@cjm~qrU)DX~S5= z=PKNkF3E-y8S9g-b^5CLTVl2Zvc-?ONrtGi9`H2~vV=0THFOz;ew7h5VG58>Dazc) zF_0j*VD!UO5aSL9Q){rGX=SJ(jh2QiDnbAVI=L{?#3y!i)nfEBgS8kyrGe*7l0?xC z^8}TuTpkc3VbA~#JHMtR1rD@#s01z()cXRsRrG+Z&NBp1^g~IdTjvP{5-fz>_W-rZ8lvt%Hk;YQU{!tW-;cQsyGz@;;ETW7L0!1u%aba^@UJrC%oy zviUX46%*7DRFuP`p8^3-ur!CKKq(l;n)>*{&av~sfiexbQbms9VQ#%Wge3_$F-zM4 zj2Dv=N!-1f17_(9DO<=VR4rG{U7{?88ZN#-6n1Tb2Js@ZQ+5iY_og6}uzI@62A0R4 zE5Ig!eD$L`bn$Bg3C}}|RYSPOtf&H`;P{P+z&f~r<_zD@t83E&ii(aendKGXA>0xi zy!{;?YcYDK$6|im$@}$UsP$Kag_@@Z=t#8R;w+s?I)Qx*$1rnV!PJE4woP;N@ESoy zi#W(s7i4&N7}6t=XkQJ*{CK+RL4>qbV4yj1C9?`&9TAA8gi?pjq#>ZVkfD}Nacn#f zXwF0LNb=$NPfgi;s&`&Zrg*Lz)g*?|dmF}PIn|VbU2+L#-!V(yoFk;rm?1iDsEvnw)&3NN2AJlr#ztd9Ll<2{v-DA1<8W<` zweiNOULmaDsudoDxc&i*UIERMo^;J54RfRBZOjags<9X1t-o+oV)_RdR#;S}tdW1x zH7wJbBS_FdgBno{qwU`x*lNzb{z;AVbkvu%O7JZ9rbOIfw}kHxX03QrZ~RPlOT%m< z$aM?0Zr!^VZ<79Cux`Q3nV$ED)L?n{?YCnByu~~<=F(fV)0c0$<=xmuqm2eFZWb?J zfv5y}xG@Jm`6!|YL3Q50u@N$CLsyB}j{n7e;^^q4A`o6AK=Xa9aVjaP?52 z?R)@2{>W_ub=QWVAsZc>7lMI6plXO}<`XM1s~?410?jP}upE){Ea!->7Gx+{<@7=p zsT^$<;2>B*>1%kRC6zV`=sD7sQ>HXoBy~a@GEfKf!LzC%xl2@y#JZ>jjH$2=JdR(= zCYJ_KX&Il8Wu_IvgrT)V1XzvAP3|IJ>g5t1qjsJq62i1g5w-^h25n z=x$I@kb`nSG{s3t0uO(U!3%i=(p8$SXvQCvBCX6rjF1XYCo9Y~qy%bJq9`?^c`hRd zZV8S+_6bTzLOIJ?Ou*xav;`4za4tiL8zCz26V;Mb^RNtT1|?5sa=|9B`T(PpAOU=A z!GzyvfT;6GR|QUtTUhDpFz%T=X2J9r3xkZVpP)K7Xm7F{?h91d@*dKKFg5v5sCMCt zFO~FL^wLBif#rmUy=ih}P(l%2gkgtpR?cEr0o??INi9aD3t0TX@4ySLfix#xynZko zPR%H7=2<%JAjoBHV=68vKV1vd?kgY=G_AA+Q4Wpb2*N6YS~%ge(l8aZ$`wIO`B}Uc zq7V*>fsCAy4O#7#qk*4DvY6QI9~?q_ZzeXTDl(vLGh|GH%JsoAW|$Vkby{zj~2%;lkTRl z3;+x?@QdE_aKg%D`E9Kiq#(COC%94z#PcwhQ9_MCEP z&v>kV$C`?KK3DWj!(;^-Q~NgV2!%0(YJpbD8xpBZ@7FNY-Xp5XLcrDxKk|jzb4oC0 zTzEKm#>duVF9vjkDrd0*#W?C^CP1T-f2&9@QhfK9nz)&qIrNnWcI5VDTB_wv}f^ ze7}%1^F}chi#dLrR?@p;*k}!xf@%8&7#Sa^PL(ExLTZD86Olrp_I_jNCd+q-w~-Z-W|+4ALEXVN%&-n^|_ zF&$YdZCbbxQhXn@(_&NRTO$)ZyYFe;%wXTl!hy}nC(PO(G%_rOo{!=xXXljn_R=gV{K-L&I| z$5yZbIhMxRwEm&ED9iNLI`sqSJ;SD@58=#X+-8Wqr}XqXcObTPEA7MGyA*TNQ?KT{ z?%-fl1F3JIK{m@Kl?G_0Q;ka!xg|Dc=m@)X=_a`fWDQ#Y{*nw+$?3|(TKwe$15=qz zEk@TW6FRrKqwE?mg)1NyK!Z$X{~)oD26SaIHaLjY`LD7Dl%o^;(8Z9Skp+Xp^4K}g zI5-GgW^oLlxI+;^j>GI6rvN>xEe=ll1K?R=qLDU$oWvERTXiFMfD(p)VEPNd3j?ao zbJ)Yu%I6G;b;t#;+%r*P$jQl&bcGB~-%;Yr>8i>>U3r5(?Nhp=PZ>b{)6=f%Lxp^; zd@?=Bt={~Simr-Jx#IB$Q_ImADvaJbSW+aWIp9-zWdTY<)V!p&bC7FIsUtlNsS~va z(#^qW0o_?PV(}n_{OT4~NhO3wZxhm>rjZ&Oi8t%Sc!}> zPLKsThyot&>m#z=Kn{A+_%63Y;N_k*#6T1`C_C7Lz>{v-$*T~uaAe3PjYws#ieY+b zT1_A&nv$cnquMeT>J3`K9)~3qPS&PMoQ6IGL9JS3DQPhn4E=0wahB!42ro?*GSO5y z6!(dz7Q$8?;&TZ2%n>MpAgxES7c78|e?qJF5wv0`D`FCqFAU3zW&q=m z6#SuSM*Gc@x1E#Z0f$tYzC(wQz!q2!8-x^(WX1Wc)XdUuIxA3ATmjj%!x?9(goWa` zP}-J-QE8Mbg(K)ikRgsxGAu%(vUC_zJ~+56ExqK3dk(5O2UHBNKO_T{9p7XNPzkUH zvo8Z3IG9jlS)@16>&F(eCdLOSk6BgpK#a67n%Wq8(j)o2VR+08aM5eeMBYXRIfpE% z*hCsj$Yb=Su8WGqxj1wkp^UYFGka*UcoKdZo2w^XSESPNeif77$S9%Of`Q=?RYKk_ z7*2lNKowxRxrqvSh|A-Z3o|_ANuxD&=W$?!gvmU7(oUBgy=3;`cMb-?lk7e;!O^9b zPl9TI>NW>$an%+K6Z-=|g#=O(n@Tg_8Jo4jwW1gg=H)RhWy_F&G%f z8+{B8=`@z4%?Hx>!vhGT%q&vkdw<$~V5eDm@__Ec6r2 zDpB4mPz||}n1u&YDxR%jLzF0y(RC2dRq#pz-Klog zr|tlA_j%`azy+2|E}5#Hh@Gt_+%edmA_+8$y1=!!bS5pzMc=qfFn+;k%iv}(Gz1ME zd+f0?{T0)oEb$K%FxdGFTTWxV*bY`casK(ucm@V;EZunH%9W)dCik0e#@85+577aF zhz>bTVY2zzdTQV78*iMJ0z=I3k(uo}$I}>GtY9X_;JChOA)f9&Y#Ua_2YX1f_)vH6 zHmp7R3P$VOlFXa6qI)2Is6B%{TIli*RUhZq%4ihdm%vJTte~fF%C}@*Y&%XxTOY`* zBS~K~pZfIHt?JY4A;$23a6n5OXber?lzVJ%Cqn2Y3t$twy*tzZ4|IB_9~6`<=&)X_ zr^L5yy6yJnXNZ+1d*wyCd~jf30RT1Sc6Ya0P}G@1a+!xv8uYLpyvA~yB+O)bA0X0l zjOrJ>`8iY`W1a_14g%yoyhS=rv}nhxj#qNPaX_(HF7Cruy-gWnoZ{_3jiQ=!)u~xm z(c$$HJw;c|{5F?bOR;JZE#h_!Em0F!Q3qbLYHXN5B13CPnNTVlu!g5=kbPBt%FP8a z!s9^*2v!iF*G7g?c4(}jWN+-KXMJc`CIYUs^f4U+Ux2Dc=88zPJSt1-$Enj6-c9Md z&6e#*38;2C1mMqC^3c2#L`%YXbrOm>jNWP@L*a$@QCVGT+*i-v3S%OFUe1|>2dfN~ zp@DFjD4^+wl|;g1m=3rNYu|yL0AA2{m63{r@}QcsWU1CH7$0XA9}|`x_YyoQq&P{JW#%%7_3$2Ed_#AE zEMZMwq2YR%tfYxhk1(8^s4Xep6wp1#A0T1k<_6zF`O<`N9N5#L5CCsG0aj#Hzg+JD zTnvBEHx~zugDc^s9i->P9|GCC5JuqR>gDj%Jx(Su811V2bcsS79{ zFj$8JO{#L>j8oQtq<~`;Qk+@2XpTf{4nCEDGDbl$k!eE#d;m+}t1H7O$P(Ce#~`t> zVqpS9#1Y3&6dW&`m%QZQV{*q+O+*POQ(6aL1Y$@Q)3!B=vKB3O#32muf;^i-_+gYt zQG6zhJ>-hQ_pqAT7eYuR9FCEiA4HT%LP~p|vKkd`ENKW8TW1=8d=EGD37 zd<4~KVu(4$TwX|xNE*?xJwXCIww8`Z5u+CclV{0cD(&@GTk4lY-1dWAGEmhffq7 zw9l+PF|IhnZ>K#KosKIcGhE9uvzT*F#a*mC4>dsjD+U+>Z#o5C6@m`R8^fY@+!Xkj zDgix+XlzYivr7m(6=~xNNiSkg0er|FD~hBw!jce3t%CqAt}=lehMmTH1D?#dJyUuHqc5`FG@-2q{89VIQJEODW!9g#yqk__-qfvDLJ%Fx6{2h%haFQe#;-G- ztT3WJZbn(6d>R)-zm$dr-UbU)RChou~9eD9Y zlt-?2P;;(zi8%KRb}DuxQ)OMau!Od7V!0O9t-C$`PSjalx(*RBCN+?I5;jg!+P80S z>7~+l*RCBLB#wm(F>vh7420YZ7LW$-45QQbX;(CqegEKKtJ++*ZeRfG0|sc6O<8oG z*jv_s;*UP+Jxcz;Kwv!O(Wz_=VI2Wp#M3C77`ji4Y#N8S=e5@$#c*d8hM@Ef0M7#g z+~{c?D(=IURk`tck|rJbB#;cu39$JDxAP7jAR;fAl`B-3t3!F*<1s~MS7E6qSp~UB z%!!1fL5qnfn|x@Xc=QRg13w+*Z5c*6CDiE9GEG3Fo+BV$=JkV6b@|HV4g#bF*+XeV zF3G|XslZS-ksg!8p+?6!6c(K&#L2MWNIKFd?r>BM_9_T0!)bP!`um}!5a+~Lj(ZeJ zm}yh`M4~Q;N`aC)@=;ARxtpOs__Di{x?l7I|63fRb; zvd1q$K88kEyefQU9xARd1$FB%*+4Br0402ksoH=a(v`)-7^b&rvW39M&m-SvE`sEH*KzDk!3lB;Y~>+n!yr5H{m#~&7{OYoH!xS^~dYPtx( zd{_-kH3wsrK^9ih2_@4}lM4YQmD=EIX2yvc94JJ06gEK!)Aa-{L+ZB)OtV$K84yZZ zD5=^NB1nPW35-i41DbR?NQVo)MtvG^`JfxfaTIOHExIgL9LM~$>-s*{ zgXdi6E-FcyoTXy9WYHxF0^K;Q z=n(YuG$;pD0lw(KJ{d*a(2#>EhdWsV1ft^=R!AQsdfroXB&^~{VSz$Xa$tn+AZ0ce z&@rUtcp@5!Troci30Rb2q3W{`gnGh{pg4jZ6Op1EC!|AhC<4G1diC^xLozhxa4Hh8 za$HWwS*cDuUdlbB#}e0oP*=I zaaJq}xgG(mZoU{RqIQKSA_9aE$y6&kjxWxmJm3~4QiKwU6$!*4CE!}NP2r9^4#i~- z4tniESdLRfjUzqYMjFYYQFKyy-+@eK;1=LB&nae`(tV%0Y1m=0C5MzSMmZ!5XUvE@ zDK2xMD#&Gx38G*R^piq=_;4EWR^lN(^c&M(2?;8P|C#O;SGOwnBe%7qGuDoy9eu^) zu~3CYJA+VpPTxO&LpwSKq-`V&J<=+Nt7nASxA*-DOFr_XB=P76RRfwi?mIOg5T_pj z?&i3V4<6*z8zhg070Q#@Ly2mLkxt0@WrG}tHIx$R3N)mLhEvvQ%iUN8gvgF(K&3<0 zLm;D4ze*XG{2VZZAj?6Mx=Mgh$IzEZj6~wRLL;0{4#v>b zI^gnf@(`t84nF{zyzK;b;SwVq*k4`@NTPsOD;}aFenE!)(4rPHNHfz<11;M! zH3M2G4Uux`Y@X$@Bo6gW3*!O}RKK1E)SPi{Q6C*E)G*%_iYMRm^QzisdgLP<@%a1wS=k#TZr!HJ51a(A0;KN*D2+Em$LExB4NM{K#U4h3w|ykD2IAHI0RXRj8|GcPw9iZu)e z%>oUj7%${U&=WVx>zqTD*1k|=EQy{FDTboqBSVFPwJE@riKs$loL0t~0`{dp%;>l{ zKL>0{Hi5mg@?s*z?KGz9=whl^jv~!L&hBHrW+GKckAThQIJ^peUgd~TnklW|BjzMA z#RxwD8k~+}d1)34&apf*%||(lg_S1t2sxYj1z4Neg)y^`!%|V?hjwPc4@S~*@h3jk z02CPTj^X;A$0;rWwZp~@jATr6G+l^~(yHI-fNFD8s9IETqhnz48)nM;gj$J5D?bj)*$Kp-iA+GuMK0eLK$gzzkKml#Blfy&Ji z6GEt*i6-JS$ykw$RlehKkxb&7X`JWex#?8-MHjf+LvS(ThMvbCEx+by;PmptN0@2> zpB<;O1!@h{VCbvnyv_ac#YkTkrHvdj)7DrvUGPvJom#$5yPb8IGNP*-LSUHf=wd_V zf}YOUPI_bwcgr=rGlrRoIGcgr4*c3eIDb_tEs$#xOP-o0D(xyg5hSK*xWqm%K7T)9 zN~K*-%%5MfYDIHc2ZugQ)#BU5-*Nx*a-Zf>=}9@KP`X{s&y+TX8kpbj>SISg4h`-P zI5(08zp9mNq5f8Ou(Gl?-5)+)sf7}@2r4;Y{QFzBY*E@_Qt_^fFUGoC0AcR;;ZL%= zqq>){H1~l6m~#2SqA0qf@J*jnyBvP;XQoqfrl#(_-}foumMz~8G(g68l`y^aMe}D4 zQSOBXrMpX$6b^y3dwRg{@B9uFrX(N92+r#_88vy@yBf2GWqfc`p@tW5GJFMn6LF%w z6Xy^f>9_>r?gvlU_Icb6v3r%@%ZWa%`9{q3ZdzK}re?UPPu2o3%Np{5%Q0MBEDE@A zI3|2I6GIMCes#7@#LhGb#mFXU+t%RO zY$nY*qEW8zNCTNyb%jSOA3MdqE-;{(C)b<&>4P}K}h-J3i%iMQH*4H)f`7kCfAXFv_eq*{SDvUPpY3z}Z--4!5eKewyV8jiF#SI@3^vUoi*aY5^kneB@SO*;l1!11yNK5(> znGQIrJ+C^dD%u1RO^!bBqY`1&C58|apt&G8z#DKK4}J_WVE`M9P?R+4ETkFpR19u5 z2!(!`KnYF6!e518GLAoTS@5HafPQj7Bh#r6rZs^hN-gAT5e?&N>8}8deiaai%Rjt- z5EAWqs!+nis1$hy^aOQMMRoOp6F}wdObN*jCyl>+A&o7GWMVVnQHvOL=$#Gbny~~1 zn*@Q+#rLCR7eRAXs3N?@$%56ud^J@VUg?lYX{Ig;)DWsMQEDaZq|4z64<+!pB|Jh2 zMxB1sVZm)ju(ZQfHU@Am)eb>gy7(>>UF)=O!GZzCCk5(WL@I9S()=lN@JnQAXJ|EV z2%Mj$glG#6=1*Y zKuEX7F{B8^kqc-6e+}n!qckd~#!SNj037+rHiCA6!jA9bBwOEL7TxA4PhV98O(Uu} z?O2r{ZUsJA7t-he#Tf5Eg_Y3(k6-yGg=}oTRvuH(hSq7shsez zkI-mYijYQ>Qp&j20Tf#hKdv$9BP@iAjAQ0R4lWMVO^w8XHQBgq&B+*7oQUCCqy@Du z(guH!M0j`|L(#YCtq2xV()79P2f~+cO7PVxzFnoa5lqgsqcWHS7F>szuF4_gI|+kw z^m#b8bBM$8LF)`0*fobj(DUDc9RgqOtcGt~sa+U&c}BuwxCx`~v!uWX<}Rrb;C>Bl zxZ_XwjNbKNcxh3qa!j9+bOJ(<#pGAj@adywWkDDHET6{9@stx!j#!YI#E_h7n|5rb zH_em^%nlo6o~i~)N&*3aC&R5BBvA}_&n82GpG&7$ky(j2vWAtCW*SR=)0nztL7OwP z7QHX9&=Qy>Q-Y5~h-u_bN$ABpU5W(K){sg24J5F;2jck7nJzBWHR=LCIKE;g^HV(_ ztyfHN1PGums>4Q2OcPED_@lnLGuS8^KIRwYn4ChxGBkt`aY(>g+-x=oPuqLyw$fJG z!G?CH!im6{-l^WH3jw{oh-W4;0 zet)N`l(s%~8)-m$K{e9gSEcoJ8c5k87H<=v7ySUF0X`KUWRn=Rb_kiZYw5#5Dvtb- z4Jd%(Lo1A)dFjzml-l=MQsp>+*5xubnOVAYa#F3u?TJktnCU*bY5x31+cku8s{O4m zy|gvVoqW=OlqqXqm&z-0l?IeP9H)gEWS|A%`+e5G08L_exUx=_@T~zA#%%v`WFSPy^u{@eF|g*~x}WZxa!&ki!5=EN%QaudNV>YEVTu(khEg{viR3*f zrw~cy;8JuV@v0!6-x{<9v<0*Uv<0*Uv<0*Uv<3eASwOuN`Je6n_p3Fnv9^G=fVP0P zfVP0PfVP0PfVP0PfVP0PfVP0PfVP0PfVP0PfVP0PfVP0PfVP0PfVP0PfVP0PfVP0P zfVP0PfVP0PfVP0PfVP0PfVP0PfVP0PfVRMYa|^_{aXtG>_7VJL5!nKEtn^XSxhx)yq55`{0{%PkQ`-i?Jwv;_Q^tPRc{wB7OJ+|W=2M)UM`agdCu}98$u=o$+ z;+v2Cd6!w7^jCj#&X>FXxVVE|e$U)KI5(X zZQre3`%Jb&JjX9iyy5OcS&pR_C6=9ZG~bv=Kb^?m{W|B<_G`YG_|8d-2LEE_Yp+cF zI{WUS!tD8PPab{udscmJ&isAJ_uRcye1X62&g7@e72-zz`ZdW-<|kI&H0KR(PyX!g zt5*H>oHsn%oy?97{msk;-|BwHN#o8}>^ENCeZk#d>c1uNrnhw8aMJ%}Ut@22s(bt0 z-`x54&-|}jyZ4#?=FPj0yYP_Ln?G3fjfWS${*a|7J?wn@zJqgG#|M5M?_Z|ADCx5{EsdxNO4;7Oi zwSTteZPy-p+sPNN`sLu;-9vvdd6oaG`ETEMSTZ(h?|SZpuO0TbSYhz7``)qqu#d*R zSa{-wcOHJ&XD9#8|INAY+;-TOkvpb-cig+y9QNzjUG`JYWZu|2Yvexu`#Uqg=yfN5 z+`o72yFb(WiOEO$pUa&1uHG9bf8D?Tz===xZX0=qzj)`sjlFwLE*Wf-I`g9|I+;%`?6E|h7;GG^gjE`r@YBMBy-Y!`~Fkjy64c{Cx69$#u}KguRrCi z)V$n#-6JxmJfAxKp0jt&+Ii~Vq%O0Tub3@PdvEGX)`h!{<){5VwcWaW#oR56Z%j?y zb4`&iJAL5rV@?@Mzh>(6e?R=>+~-!mF87{KAAZq2Un(w``QAS|{PXwRV!Y|*_da;| z*4)<@E$si_mmmJbDO;Aj`OY(rJfioWdsn|DbH<%VoM7!3eye}xvLlA9pAWy?IrF(A z^44R+@7VIbzdYjhd;ViZ=7h73KjNWNo^wy!eAbVTcxlv3x$_5C&3xUqLw22f{gR%U zXKb4_ei~cy)tQ%#_PM8D^e67jzltx|_1>TS$uDO5r@m$NnJ4_|mppU!-_Cl=sqfo$_TbWY%=*B#W$ycLS^B`Nb@3Gw%MQH%+*yBf>c@6{=#2M2 zH*5Q;m#02*!v{Vy>&b2F+~togn|q{v>V_5PELe8ik#F7h+12M>^k)M{F57m)>Xo0fIy6VU$;@{hIN&ds}qq^hwOsxLq zhYLp?x9x{ZF5CH$V~#rO)PLD?W#S_@AGLb)k>NE9{(Rw4pWF6?@u}1Q{F_I8YxJq% zwdXE>*HI6hx^LGtYnK;~dTs+-B(5JiZT8XkCWf;&5B=lpciw9kbDLM3Ir~E!X7Adt zWyJ%tuf8{JyaP}`}oUrHrZ29PqX1}n(d9bkg z+%t|o=HAmMuDki%`;LCcy@M;Rzy7?Fk6yN6S?UXG&)a(RCuc0bnpdCdA56T`Rc_}JhvUz~Bv@TS7YzJJWO z@4bEXt*3wdkB<50)4sjrHumu^9`m~mccpLt=0%BP6B{NUykqF1%Z`2RhJPIXM$bk6 zdhCfa9!!68^CwO_cG--F?)diEpSbPVOJ_Wi{)efHk39A>_dYiMo&3dXkNwJNzuEP@ zIT!CbcI$>ci?(dOg4qe%$JRn{!PU-*ev$m%e+>_1j-R@sI4% zznt^6#mBk-bit)lbBY@m6@PHwWv9=1Xye-#{b>GWe?8}SU73jo)?W6^TyvwlXUC4q z-!u29jf)rkGr#<%xyLO&W5tgzxcsTP&h{m%f3o=sXYSIj_YeQe)D?xf7j}JU^+U&9 z@vFIOHx8|M_}nWO&MkDEx9Dd#TzS>pzwNqk&(H6>a@*W*ZNGTp7tegMckX=~FLVEO z_9vIk{rSdE79Tm`liu9lZd~jBYS|~BnETRVF|l*inuYUvH;x*+a%(P~$G4BU|5jM@ zt$B+!{>6$%Z(6f&-br2K!;g7aoiOiD7Jq*H-*3KZ&AfBEZXABxyXqh2t=|5n6;Ir7 z)!uo-T@#CT=RdV*UZLyeJ-=S_sf*@)dHXFBzZv?}SLglx;#(IzdCI4LHSc?iZ@=TW z^RGT?-u;WevFLZ~>i5n2>Gp3A|L)U*p3!$C9vyr9wQKv*+wWiW`!#Df^(|cd1LNubwGZ{3u<=I^KD~2Y ztncK-4-P+b?Yf11@9X+WdT;-__xCMZ{L?%3{$$MmVS2Cy07$oeETnk_a)Z- zQ{NR`|GI16P3xxmu35Y@_1uDMj_BLi^>6NT-@NAdzRxaxY{l~@T=V|Ezufrvis$dV z=E}amUc7tB{{CyO@B6#$zge{ZmTT_lyRGZVJul3@=7)XX>iXRsFXXP--S@qXPc3=j zx9g3*ySt`#9T;4HOy7N7zh83T*7b|}ez@`J;)~Y$#eF~S+M9ZD+4>LlJ>2!|k{54T ze@Wjjx9=N%accc=->${ajlXol`s@1sedF^JFRfWW(f8}c`^R6}ynb`vZ@0g&`la3L z@9LZCI`?`(ErSEh#;`eqY~9U8V8T?)5M9mAXpB(lhH{>?#SddMYUv@q)~`+9E*{Da3%@=3)8b2`-bU4dTqRx|K-NRe46>wmETNGh_A1))|fC|GoRJi zZ@Tejay!LOH@`@IR<(mp^Q%oi-TYs1{?^r3Pkov7^@{8N|G7T3?W(>)T4QR{*T!q> zqa8hLzoB27{?(3$`G!79pZ>}3Ih)>J|7)1Vj_RLa#^4YnWUp8w;57kb-fb=EGFeNG z4kVQR;J~c)!~L%@V3)uqS2eMRM1T3VE85T*Ysb-!{s%Wni5>B}8~R^kR%~*0E1Nv> pKg1^A9mSQ?jbALh>VkN@e-|tD7uj&X$zJ<^j|KM7kfV|R{{X@JFPi`W diff --git a/FPGA/VNA/top.vhd b/FPGA/VNA/top.vhd index 3879038..a3b61ac 100644 --- a/FPGA/VNA/top.vhd +++ b/FPGA/VNA/top.vhd @@ -268,6 +268,8 @@ architecture Behavioral of top is RESET_MINMAX : out STD_LOGIC; SWEEP_HALTED : in STD_LOGIC; SWEEP_RESUME : out STD_LOGIC; + SPI_OVERWRITE_ENABLED : out STD_LOGIC; + SPI_OVERWRITE_DATA : out STD_LOGIC_VECTOR(14 downto 0); DFT_BIN1_PHASEINC : out STD_LOGIC_VECTOR (15 downto 0); DFT_DIFFBIN_PHASEINC : out STD_LOGIC_VECTOR (15 downto 0); DFT_RESULT_READY : in STD_LOGIC; @@ -339,6 +341,8 @@ architecture Behavioral of top is signal source_unlocked : std_logic; signal lo_unlocked : std_logic; + signal source_filter : std_logic_vector(1 downto 0); + -- ADC signals signal adc_trigger_sample : std_logic; signal adc_port1_ready : std_logic; @@ -372,8 +376,9 @@ architecture Behavioral of top is signal sweep_port2_stage : STD_LOGIC_VECTOR (2 downto 0); signal sweep_config_data : std_logic_vector(95 downto 0); signal sweep_config_address : std_logic_vector(12 downto 0); - signal source_filter : std_logic_vector(1 downto 0); + signal sweep_source_filter : std_logic_vector(1 downto 0); signal sweep_band : std_logic; + signal sweep_attenuator : std_logic_vector(6 downto 0); signal sweep_config_write_address : std_logic_vector(12 downto 0); signal sweep_config_write_data : std_logic_vector(95 downto 0); @@ -419,6 +424,10 @@ architecture Behavioral of top is signal debug : std_logic_vector(10 downto 0); signal intr : std_logic; + -- HW overwrite signals + signal HW_overwrite_enabled : std_logic; + signal HW_overwrite_data : std_logic_vector(14 downto 0); + -- DFT signals signal dft_bin1_phaseinc : std_logic_vector (15 downto 0); signal dft_diffbin_phaseinc : std_logic_vector (15 downto 0); @@ -436,20 +445,20 @@ begin LEDS(2) <= SOURCE_LD; LEDS(3) <= LO1_LD; -- Sweep and active port - PORT_SELECT2 <= sweep_excite_port2 and portswitch_en; - PORT2_SELECT <= sweep_excite_port2 and portswitch_en; - PORT_SELECT1 <= sweep_excite_port1 and portswitch_en; - PORT1_SELECT <= sweep_excite_port1 and portswitch_en; - BAND_SELECT_HIGH <= not sweep_band; - BAND_SELECT_LOW <= sweep_band; + PORT_SELECT2 <= (sweep_excite_port2 and portswitch_en) when HW_overwrite_enabled = '0' else HW_overwrite_data(3); + PORT2_SELECT <= (sweep_excite_port2 and portswitch_en) when HW_overwrite_enabled = '0' else HW_overwrite_data(3); + PORT_SELECT1 <= (sweep_excite_port1 and portswitch_en) when HW_overwrite_enabled = '0' else HW_overwrite_data(4); + PORT1_SELECT <= (sweep_excite_port1 and portswitch_en) when HW_overwrite_enabled = '0' else HW_overwrite_data(4); + BAND_SELECT_HIGH <= not sweep_band when HW_overwrite_enabled = '0' else not HW_overwrite_data(5); + BAND_SELECT_LOW <= sweep_band when HW_overwrite_enabled = '0' else HW_overwrite_data(5); PORT1_MIX2_EN <= port1mix_en; PORT1_MIX1_EN <= not port1mix_en; PORT2_MIX2_EN <= port2mix_en; PORT2_MIX1_EN <= not port2mix_en; REF_MIX2_EN <= refmix_en; REF_MIX1_EN <= not refmix_en; - LEDS(4) <= not (not sweep_reset and sweep_excite_port2 and portswitch_en); - LEDS(5) <= not (not sweep_reset and sweep_excite_port1 and portswitch_en); + LEDS(4) <= not (not sweep_reset and sweep_excite_port2 and portswitch_en) when HW_overwrite_enabled = '0' else not HW_overwrite_data(3); + LEDS(5) <= not (not sweep_reset and sweep_excite_port1 and portswitch_en) when HW_overwrite_enabled = '0' else not HW_overwrite_data(4); -- Uncommitted LEDs LEDS(7 downto 6) <= user_leds(1 downto 0); --LEDS(7) <= '0'; @@ -675,8 +684,8 @@ begin PLL_LOCKED => plls_locked, SWEEP_HALTED => sweep_halted, SWEEP_RESUME => sweep_resume, - ATTENUATOR => ATTENUATION, - SOURCE_FILTER => source_filter, + ATTENUATOR => sweep_attenuator, + SOURCE_FILTER => sweep_source_filter, STAGES => sweep_stages, INDIVIDUAL_HALT => sweep_individual_halt, PORT1_STAGE => sweep_port1_stage, @@ -689,11 +698,14 @@ begin ); -- Source filter mapping + source_filter <= sweep_source_filter when HW_overwrite_enabled = '0' else HW_overwrite_data(7 downto 6); FILT_IN_C1 <= '0' when source_filter = "00" or source_filter = "10" else '1'; FILT_IN_C2 <= '0' when source_filter = "11" or source_filter = "10" else '1'; FILT_OUT_C1 <= '0' when source_filter = "00" or source_filter = "10" else '1'; FILT_OUT_C2 <= '0' when source_filter = "00" or source_filter = "01" else '1'; + ATTENUATION <= sweep_attenuator when HW_overwrite_enabled = '0' else HW_overwrite_data(14 downto 8); + -- PLL/SPI mux -- only select FPGA SPI slave when both AUX1 and AUX2 are low fpga_select <= nss_sync when aux1_sync = '0' and aux2_sync = '0' else '1'; @@ -756,6 +768,8 @@ begin INDIVIDUAL_HALT => sweep_individual_halt, PORT1_STAGE => sweep_port1_stage, PORT2_STAGE => sweep_port2_stage, + SPI_OVERWRITE_ENABLED => HW_overwrite_enabled, + SPI_OVERWRITE_DATA => HW_overwrite_data, DFT_BIN1_PHASEINC => dft_bin1_phaseinc, DFT_DIFFBIN_PHASEINC => dft_diffbin_phaseinc, DFT_RESULT_READY => dft_ready, diff --git a/Software/VNA_embedded/Application/App.cpp b/Software/VNA_embedded/Application/App.cpp index 25e4322..048d4dd 100644 --- a/Software/VNA_embedded/Application/App.cpp +++ b/Software/VNA_embedded/Application/App.cpp @@ -259,6 +259,7 @@ inline void App_Process() { // insert the last received packet (restarts the timed out operation) USBPacketReceived(last_measure_packet); } + HW::updateDeviceStatus(); } } diff --git a/Software/VNA_embedded/Application/Drivers/FPGA/FPGA.cpp b/Software/VNA_embedded/Application/Drivers/FPGA/FPGA.cpp index 7f47c4e..2181eaa 100644 --- a/Software/VNA_embedded/Application/Drivers/FPGA/FPGA.cpp +++ b/Software/VNA_embedded/Application/Drivers/FPGA/FPGA.cpp @@ -356,6 +356,27 @@ uint16_t FPGA::GetStatus() { return (uint16_t) status[0] << 8 | status[1]; } +void FPGA::OverwriteHardware(uint8_t attenuation, LowpassFilter filter, bool lowband, bool port1_enabled, bool port2_enabled) { + uint16_t val = 0; + val |= 0x8000; // enable overwrite + val |= (attenuation & 0x7F) << 8; + val |= (int) filter << 6; + if (lowband) { + val |= 0x0020; + } + if (port1_enabled) { + val |= 0x0010; + } + if (port2_enabled) { + val |= 0x0008; + } + WriteRegister(Reg::HardwareOverwrite, val); +} + +void FPGA::DisableHardwareOverwrite() { + WriteRegister(Reg::HardwareOverwrite, 0x0000); +} + FPGA::ADCLimits FPGA::GetADCLimits() { uint16_t cmd = 0xE000; SwitchBytes(cmd); diff --git a/Software/VNA_embedded/Application/Drivers/FPGA/FPGA.hpp b/Software/VNA_embedded/Application/Drivers/FPGA/FPGA.hpp index 98cd586..149870b 100644 --- a/Software/VNA_embedded/Application/Drivers/FPGA/FPGA.hpp +++ b/Software/VNA_embedded/Application/Drivers/FPGA/FPGA.hpp @@ -18,6 +18,7 @@ enum class Reg { ADCPrescaler = 0x04, PhaseIncrement = 0x05, SweepSetup = 0x06, + HardwareOverwrite = 0x07, MAX2871Def0LSB = 0x08, MAX2871Def0MSB = 0x09, MAX2871Def1LSB = 0x0A, @@ -134,6 +135,9 @@ void ResetADCLimits(); void ResumeHaltedSweep(); uint16_t GetStatus(); +void OverwriteHardware(uint8_t attenuation, LowpassFilter filter, bool lowband, bool port1_enabled, bool port2_enabled); +void DisableHardwareOverwrite(); + void StartSweep(); void AbortSweep(); diff --git a/Software/VNA_embedded/Application/Generator.cpp b/Software/VNA_embedded/Application/Generator.cpp index acbb85c..4bdc682 100644 --- a/Software/VNA_embedded/Application/Generator.cpp +++ b/Software/VNA_embedded/Application/Generator.cpp @@ -2,73 +2,59 @@ #include "Generator.hpp" #include "Manual.hpp" #include "Hardware.hpp" +#include "HW_HAL.hpp" #include "max2871.hpp" #include "Si5351C.hpp" -void Generator::Setup(Protocol::GeneratorSettings g) { - if(g.activePort == 0) { - // both ports disabled, no need to configure PLLs - HW::SetIdle(); - return; - } - Protocol::ManualControlV1 m; - // LOs not required - m.LO1CE = 0; - m.LO1Frequency = 1000000000; - m.LO1RFEN = 0; - m.LO1RFEN = 0; - m.LO2EN = 0; - m.LO2Frequency = 60000000; - m.Port1EN = 0; - m.Port2EN = 0; - m.RefEN = 0; - m.Samples = 131072; - m.WindowType = (int) FPGA::Window::None; +using namespace HWHAL; - switch(g.activePort) { - case 1: - m.AmplifierEN = 1; - m.PortSwitch = 0; - break; - case 2: - m.AmplifierEN = 1; - m.PortSwitch = 1; - break; +void Generator::Setup(Protocol::GeneratorSettings g) { + HW::SetMode(HW::Mode::Generator); + if(g.activePort == 0) { + // both ports disabled, no need to configure PLLs + Si5351.Disable(SiChannel::LowbandSource); + FPGA::Disable(FPGA::Periphery::SourceChip); + FPGA::Disable(FPGA::Periphery::Amplifier); + FPGA::Disable(FPGA::Periphery::SourceRF); + FPGA::Disable(FPGA::Periphery::PortSwitch); + FPGA::DisableHardwareOverwrite(); + return; } + g.frequency = Cal::FrequencyCorrectionToDevice(g.frequency); auto amplitude = HW::GetAmplitudeSettings(g.cdbm_level, g.frequency, g.applyAmplitudeCorrection, g.activePort == 2); // Select correct source + bool bandSelect; + FPGA::LowpassFilter lp = FPGA::LowpassFilter::M947; if(g.frequency < HW::BandSwitchFrequency) { - m.SourceLowEN = 1; - m.SourceLowFrequency = g.frequency; - m.SourceHighCE = 0; - m.SourceHighRFEN = 0; - m.SourceHighFrequency = HW::BandSwitchFrequency; - m.SourceHighLowpass = (int) FPGA::LowpassFilter::M947; - m.SourceHighPower = (int) MAX2871::Power::n4dbm; - m.SourceHighband = false; - m.SourceLowPower = (int) amplitude.lowBandPower; + bandSelect = true; + FPGA::Disable(FPGA::Periphery::SourceChip); + Si5351.SetCLK(SiChannel::LowbandSource, g.frequency, Si5351C::PLL::B, + amplitude.lowBandPower); + Si5351.Enable(SiChannel::LowbandSource); } else { - m.SourceLowEN = 0; - m.SourceLowFrequency = HW::BandSwitchFrequency; - m.SourceHighCE = 1; - m.SourceHighRFEN = 1; - m.SourceHighFrequency = g.frequency; + bandSelect = false; + Si5351.Disable(SiChannel::LowbandSource); + FPGA::Enable(FPGA::Periphery::SourceChip); + FPGA::SetMode(FPGA::Mode::SourcePLL); + Source.SetPowerOutA(amplitude.highBandPower); + Source.SetFrequency(g.frequency); + Source.Update(); + FPGA::SetMode(FPGA::Mode::FPGA); if(g.frequency < 900000000UL) { - m.SourceHighLowpass = (int) FPGA::LowpassFilter::M947; + lp = FPGA::LowpassFilter::M947; } else if(g.frequency < 1800000000UL) { - m.SourceHighLowpass = (int) FPGA::LowpassFilter::M1880; + lp = FPGA::LowpassFilter::M1880; } else if(g.frequency < 3500000000UL) { - m.SourceHighLowpass = (int) FPGA::LowpassFilter::M3500; + lp = FPGA::LowpassFilter::M3500; } else { - m.SourceHighLowpass = (int) FPGA::LowpassFilter::None; + lp = FPGA::LowpassFilter::None; } - m.SourceHighband = true; - m.SourceHighPower = (int) amplitude.highBandPower; - m.SourceLowPower = (int) Si5351C::DriveStrength::mA2; } - m.attenuator = amplitude.attenuator; - Manual::Setup(m); + FPGA::OverwriteHardware(amplitude.attenuator, lp, bandSelect, g.activePort == 1, g.activePort == 2); HW::SetOutputUnlevel(amplitude.unlevel); + FPGA::Enable(FPGA::Periphery::Amplifier, true); + FPGA::Enable(FPGA::Periphery::SourceRF, true); + FPGA::Enable(FPGA::Periphery::PortSwitch, true); } diff --git a/Software/VNA_embedded/Application/Hardware.cpp b/Software/VNA_embedded/Application/Hardware.cpp index f4a3d0a..200d5ef 100644 --- a/Software/VNA_embedded/Application/Hardware.cpp +++ b/Software/VNA_embedded/Application/Hardware.cpp @@ -8,6 +8,7 @@ #include "Manual.hpp" #include "delay.hpp" #include "SpectrumAnalyzer.hpp" +#include "Communication.h" #include #define LOG_LEVEL LOG_LEVEL_INFO @@ -139,6 +140,8 @@ bool HW::Init() { return false; } + FPGA::DisableHardwareOverwrite(); + // Set default ADC samplerate FPGA::WriteRegister(FPGA::Reg::ADCPrescaler, ADCprescaler); // Set phase increment according to @@ -229,6 +232,7 @@ void HW::SetIdle() { unlevel = false; FPGA::AbortSweep(); FPGA::SetMode(FPGA::Mode::FPGA); + FPGA::DisableHardwareOverwrite(); FPGA::Enable(FPGA::Periphery::SourceChip, false); FPGA::Enable(FPGA::Periphery::SourceRF, false); FPGA::Enable(FPGA::Periphery::LO1Chip, false); @@ -289,7 +293,7 @@ HW::AmplitudeSettings HW::GetAmplitudeSettings(int16_t cdbm, uint64_t freq, bool bool HW::TimedOut() { constexpr uint64_t timeout = 1000000; - if(activeMode != Mode::Idle && Delay::get_us() - lastISR > timeout) { + if(activeMode != Mode::Idle && activeMode != Mode::Generator && Delay::get_us() - lastISR > timeout) { return true; } else { return false; @@ -420,6 +424,28 @@ uint64_t HW::getLastISRTimestamp() { return lastISR; } +void HW::updateDeviceStatus() { + if(activeMode == Mode::Idle || activeMode == Mode::Generator) { + static uint32_t last_update = 0; + if(HAL_GetTick() - last_update >= 1000) { + last_update = HAL_GetTick(); + HW::Ref::update(); + Protocol::PacketInfo packet; + packet.type = Protocol::PacketType::DeviceStatusV1; + // Enable PLL chips for temperature reading + bool srcEn = FPGA::IsEnabled(FPGA::Periphery::SourceChip); + bool LOEn = FPGA::IsEnabled(FPGA::Periphery::LO1Chip); + FPGA::Enable(FPGA::Periphery::SourceChip); + FPGA::Enable(FPGA::Periphery::LO1Chip); + HW::getDeviceStatus(&packet.statusV1, true); + // restore PLL state + FPGA::Enable(FPGA::Periphery::SourceChip, srcEn); + FPGA::Enable(FPGA::Periphery::LO1Chip, LOEn); + Communication::Send(packet); + } + } +} + uint16_t HW::getDFTPhaseInc() { return DFTphaseInc; } diff --git a/Software/VNA_embedded/Application/Hardware.hpp b/Software/VNA_embedded/Application/Hardware.hpp index e81a26f..a6d029d 100644 --- a/Software/VNA_embedded/Application/Hardware.hpp +++ b/Software/VNA_embedded/Application/Hardware.hpp @@ -88,6 +88,7 @@ static constexpr Protocol::DeviceInfo Info = { enum class Mode { Idle, Manual, + Generator, VNA, SA, }; @@ -101,6 +102,8 @@ uint64_t getLastISRTimestamp(); void SetOutputUnlevel(bool unlev); +void updateDeviceStatus(); + using AmplitudeSettings = struct _amplitudeSettings { uint8_t attenuator; union {