From 8b9b8265b97db9862bd0a02a8d515b55a4a2fb98 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Jan=20K=C3=A4berich?= Date: Sat, 7 Nov 2020 17:10:41 +0100 Subject: [PATCH] Use full multiplier bitwidth for windowing + increased number of DFT bins --- Documentation/DeveloperInfo/FPGA_protocol.tex | 4 +- FPGA/VNA/DFT.vhd | 55 +-- FPGA/VNA/Sampling.vhd | 238 +++++++----- FPGA/VNA/Test_DFT.vhd | 14 +- FPGA/VNA/Test_SPICommands.vhd | 15 +- FPGA/VNA/Test_Sampling.vhd | 28 +- FPGA/VNA/Test_Sync.vhd | 117 ------ FPGA/VNA/Test_Window.vhd | 10 +- FPGA/VNA/Test_Windowing.vhd | 12 +- FPGA/VNA/VNA.gise | 104 ++---- FPGA/VNA/VNA.xise | 61 ++-- FPGA/VNA/Windowing.vhd | 12 +- FPGA/VNA/ipcore_dir/SinCosMult.xco | 68 ---- FPGA/VNA/ipcore_dir/SinCosMult.xise | 73 ---- FPGA/VNA/ipcore_dir/result_bram.xco | 6 +- FPGA/VNA/ipcore_dir/result_bram.xise | 345 +++++++++++++++++- FPGA/VNA/top.bin | Bin 341712 -> 341712 bytes FPGA/VNA/top.vhd | 24 +- Software/PC_Application/Device/device.cpp | 12 +- .../SpectrumAnalyzer/spectrumanalyzer.cpp | 4 +- .../Application/Drivers/FPGA/FPGA.hpp | 2 +- 21 files changed, 625 insertions(+), 579 deletions(-) delete mode 100644 FPGA/VNA/Test_Sync.vhd delete mode 100644 FPGA/VNA/ipcore_dir/SinCosMult.xco delete mode 100644 FPGA/VNA/ipcore_dir/SinCosMult.xise diff --git a/Documentation/DeveloperInfo/FPGA_protocol.tex b/Documentation/DeveloperInfo/FPGA_protocol.tex index 9660519..40acb96 100644 --- a/Documentation/DeveloperInfo/FPGA_protocol.tex +++ b/Documentation/DeveloperInfo/FPGA_protocol.tex @@ -386,7 +386,7 @@ Setting & Window type\\ \begin{itemize} \item \textbf{Presc[7:0]:} Amount of FPGA clock cycles between ADC samples. $$ SR_{ADC} = \frac{\SI{102.4}{\mega\hertz}}{Presc} $$ -The minimum value for this register is 111, which results in a samplerate of roughly \SI{922.5}{\kilo\hertz}. If Presc is set to a lower value, the data acquisition from the ADC is not done when the next sample starts and samples will be skipped. +The minimum value for this register is 112, which results in a samplerate of roughly \SI{914.3}{\kilo\hertz}. If Presc is set to a lower value, the data acquisition from the ADC is not done when the next sample starts and samples will be skipped. \end{itemize} \subsection{Phase Increment: 0x05} @@ -446,7 +446,7 @@ See datasheet of MAX2871 for bit descriptions. Bits for the fields N, FRAC, M, V \label{dft} In addition to the single bin DFT configured through the ADC prescaler and phase increment registers (see \ref{reg:ADC} and \ref{reg:phaseinc}), the FPGA also includes a multiple point DFT. This DFT only operates on the port 1 and port 2 receivers and is intended to speed up spectrum analyzer measurements. If enabled, the DFT runs in parallel to all other calculations. -The DFT has a fixed number of bins (64), but the frequencies these bins correspond to can be changed. +The DFT has a fixed number of bins (96), but the frequencies these bins correspond to can be changed. \subsubsection{DFT\_FIRST\_BIN: 0x12} \begin{center} diff --git a/FPGA/VNA/DFT.vhd b/FPGA/VNA/DFT.vhd index 9a90d40..8975b9b 100644 --- a/FPGA/VNA/DFT.vhd +++ b/FPGA/VNA/DFT.vhd @@ -33,8 +33,8 @@ entity DFT is Generic (BINS : integer); Port ( CLK : in STD_LOGIC; RESET : in STD_LOGIC; - PORT1 : in STD_LOGIC_VECTOR (15 downto 0); - PORT2 : in STD_LOGIC_VECTOR (15 downto 0); + PORT1 : in STD_LOGIC_VECTOR (17 downto 0); + PORT2 : in STD_LOGIC_VECTOR (17 downto 0); NEW_SAMPLE : in STD_LOGIC; NSAMPLES : in STD_LOGIC_VECTOR (12 downto 0); BIN1_PHASEINC : in STD_LOGIC_VECTOR (15 downto 0); @@ -45,25 +45,14 @@ entity DFT is end DFT; architecture Behavioral of DFT is -COMPONENT dft_result -GENERIC(depth : integer); -PORT( - CLK : IN std_logic; - READ_ADDRESS : in integer range 0 to depth-1; - WRITE_ADDRESS : in integer range 0 to depth-1; - DATA_IN : IN std_logic_vector(191 downto 0); - WE : IN std_logic; - DATA_OUT : OUT std_logic_vector(191 downto 0) - ); -END COMPONENT; COMPONENT result_bram PORT ( clka : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); - addra : IN STD_LOGIC_VECTOR(5 DOWNTO 0); + addra : IN STD_LOGIC_VECTOR(7 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(191 DOWNTO 0); clkb : IN STD_LOGIC; - addrb : IN STD_LOGIC_VECTOR(5 DOWNTO 0); + addrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0); doutb : OUT STD_LOGIC_VECTOR(191 DOWNTO 0) ); END COMPONENT; @@ -75,14 +64,6 @@ COMPONENT SinCos sine : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) ); END COMPONENT; -COMPONENT SinCosMult - PORT ( - clk : IN STD_LOGIC; - a : IN STD_LOGIC_VECTOR(15 DOWNTO 0); - b : IN STD_LOGIC_VECTOR(15 DOWNTO 0); - p : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) - ); -END COMPONENT; COMPONENT DSP_SLICE PORT ( clk : IN STD_LOGIC; @@ -120,16 +101,16 @@ END COMPONENT; signal read_address : integer range 0 to BINS-1; signal write_address : integer range 0 to BINS-1; - signal read_address_vector : std_logic_vector(5 downto 0); - signal write_address_vector : std_logic_vector(5 downto 0); + signal read_address_vector : std_logic_vector(7 downto 0); + signal write_address_vector : std_logic_vector(7 downto 0); signal we : std_logic_vector(0 downto 0); signal ram_in : std_logic_vector(191 downto 0); signal ram_out : std_logic_vector(191 downto 0); type States is (WaitingForSample, WaitMult, WaitSinCos, Busy, Ready); signal state : States; - signal port1_latch : std_logic_vector(15 downto 0); - signal port2_latch : std_logic_vector(15 downto 0); + signal port1_latch : std_logic_vector(17 downto 0); + signal port2_latch : std_logic_vector(17 downto 0); signal phase : std_logic_vector(31 downto 0); signal phase_inc : std_logic_vector(31 downto 0); @@ -219,8 +200,8 @@ begin doutb => ram_out ); - read_address_vector <= std_logic_vector(to_unsigned(read_address, 6)); - write_address_vector <= std_logic_vector(to_unsigned(write_address, 6)); + read_address_vector <= std_logic_vector(to_unsigned(read_address, 8)); + write_address_vector <= std_logic_vector(to_unsigned(write_address, 8)); OUTPUT <= ram_out; mult1_c <= ram_out(191 downto 144); @@ -294,13 +275,13 @@ begin mult_enable <= '1'; read_address <= 1; -- sign extended multiplication - mult1_a <= port1_latch(15) & port1_latch(15) & port1_latch; + mult1_a <= port1_latch; mult1_b <= sine(15) & sine(15) & sine; - mult2_a <= port1_latch(15) & port1_latch(15) & port1_latch; + mult2_a <= port1_latch; mult2_b <= cosine(15) & cosine(15) & cosine; - mult3_a <= port2_latch(15) & port2_latch(15) & port2_latch; + mult3_a <= port2_latch; mult3_b <= sine(15) & sine(15) & sine; - mult4_a <= port2_latch(15) & port2_latch(15) & port2_latch; + mult4_a <= port2_latch; mult4_b <= cosine(15) & cosine(15) & cosine; state <= BUSY; if sample_cnt = 0 then @@ -319,13 +300,13 @@ begin RESULT_READY <= '0'; phase <= std_logic_vector(unsigned(phase)+unsigned(phase_inc)); -- sign extended multiplication - mult1_a <= port1_latch(15) & port1_latch(15) & port1_latch; + mult1_a <= port1_latch; mult1_b <= sine(15) & sine(15) & sine; - mult2_a <= port1_latch(15) & port1_latch(15) & port1_latch; + mult2_a <= port1_latch; mult2_b <= cosine(15) & cosine(15) & cosine; - mult3_a <= port2_latch(15) & port2_latch(15) & port2_latch; + mult3_a <= port2_latch; mult3_b <= sine(15) & sine(15) & sine; - mult4_a <= port2_latch(15) & port2_latch(15) & port2_latch; + mult4_a <= port2_latch; mult4_b <= cosine(15) & cosine(15) & cosine; if bin_cnt >= 3 then -- multiplier result is available, advance write address diff --git a/FPGA/VNA/Sampling.vhd b/FPGA/VNA/Sampling.vhd index f1b2287..0c752e2 100644 --- a/FPGA/VNA/Sampling.vhd +++ b/FPGA/VNA/Sampling.vhd @@ -35,9 +35,9 @@ entity Sampling is RESET : in STD_LOGIC; ADC_PRESCALER : in STD_LOGIC_VECTOR(7 downto 0); PHASEINC : in STD_LOGIC_VECTOR(11 downto 0); - PORT1 : in STD_LOGIC_VECTOR (15 downto 0); - PORT2 : in STD_LOGIC_VECTOR (15 downto 0); - REF : in STD_LOGIC_VECTOR (15 downto 0); + PORT1 : in STD_LOGIC_VECTOR (17 downto 0); + PORT2 : in STD_LOGIC_VECTOR (17 downto 0); + REF : in STD_LOGIC_VECTOR (17 downto 0); ADC_START : out STD_LOGIC; NEW_SAMPLE : in STD_LOGIC; DONE : out STD_LOGIC; @@ -62,13 +62,16 @@ COMPONENT SinCos sine : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) ); END COMPONENT; -COMPONENT SinCosMult - PORT ( - clk : IN STD_LOGIC; - a : IN STD_LOGIC_VECTOR(15 DOWNTO 0); - b : IN STD_LOGIC_VECTOR(15 DOWNTO 0); - p : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) - ); +COMPONENT DSP_SLICE + PORT ( + clk : IN STD_LOGIC; + ce : IN STD_LOGIC; + sel : IN STD_LOGIC_VECTOR(0 DOWNTO 0); + a : IN STD_LOGIC_VECTOR(17 DOWNTO 0); + b : IN STD_LOGIC_VECTOR(17 DOWNTO 0); + c : IN STD_LOGIC_VECTOR(47 DOWNTO 0); + p : OUT STD_LOGIC_VECTOR(47 DOWNTO 0) + ); END COMPONENT; COMPONENT window PORT( @@ -79,12 +82,12 @@ PORT( ); END COMPONENT; - signal p1_I : signed(47 downto 0); - signal p1_Q : signed(47 downto 0); - signal p2_I : signed(47 downto 0); - signal p2_Q : signed(47 downto 0); - signal r_I : signed(47 downto 0); - signal r_Q : signed(47 downto 0); + signal p1_I : std_logic_vector(47 downto 0); + signal p1_Q : std_logic_vector(47 downto 0); + signal p2_I : std_logic_vector(47 downto 0); + signal p2_Q : std_logic_vector(47 downto 0); + signal r_I : std_logic_vector(47 downto 0); + signal r_Q : std_logic_vector(47 downto 0); signal clk_cnt : integer range 0 to 255; signal sample_cnt : integer range 0 to 131071; signal samples_to_take : integer range 0 to 131071; @@ -93,16 +96,15 @@ END COMPONENT; signal sine : std_logic_vector(15 downto 0); signal cosine : std_logic_vector(15 downto 0); - signal mult1_I : std_logic_vector(31 downto 0); - signal mult1_Q : std_logic_vector(31 downto 0); - signal mult2_I : std_logic_vector(31 downto 0); - signal mult2_Q : std_logic_vector(31 downto 0); - signal multR_I : std_logic_vector(31 downto 0); - signal multR_Q : std_logic_vector(31 downto 0); + signal mult_a : std_logic_vector(17 downto 0); + signal mult_b : std_logic_vector(17 downto 0); + signal mult_c : std_logic_vector(47 downto 0); + signal mult_p : std_logic_vector(47 downto 0); - signal last_sample : std_logic; + signal mult_enable : std_logic; + signal mult_accumulate : std_logic_vector(0 downto 0); - type States is (Idle, Sampling, WaitForMult, Accumulating, Ready); + type States is (Idle, Sampling, P1Q, P2I, P2Q, RI, RQ, SaveP1Q, SaveP2I, SaveP2Q, SaveRI, SaveRQ, Ready); signal state : States; begin -- Always fails for simulation, comment out @@ -117,49 +119,21 @@ begin cosine => cosine, sine => sine ); - Port1_I_Mult : SinCosMult + + Mult : DSP_SLICE PORT MAP ( clk => CLK, - a => PORT1, - b => cosine, - p => mult1_I - ); - Port1_Q_Mult : SinCosMult - PORT MAP ( - clk => CLK, - a => PORT1, - b => sine, - p => mult1_Q - ); - Port2_I_Mult : SinCosMult - PORT MAP ( - clk => CLK, - a => PORT2, - b => cosine, - p => mult2_I - ); - Port2_Q_Mult : SinCosMult - PORT MAP ( - clk => CLK, - a => PORT2, - b => sine, - p => mult2_Q - ); - Ref_I_Mult : SinCosMult - PORT MAP ( - clk => CLK, - a => REF, - b => cosine, - p => multR_I - ); - Ref_Q_Mult : SinCosMult - PORT MAP ( - clk => CLK, - a => REF, - b => sine, - p => multR_Q + ce => mult_enable, + sel => mult_accumulate, + a => mult_a, + b => mult_b, + c => mult_c, + p => mult_p ); + -- sign extend b input of multiplier (sin/cos) + mult_b(17 downto 16) <= mult_b(15) & mult_b(15); + process(CLK, RESET) begin if rising_edge(CLK) then @@ -172,15 +146,14 @@ begin clk_cnt <= 0; sample_cnt <= 0; phase <= (others => '0'); + mult_enable <= '0'; + mult_accumulate <= "0"; else -- when not idle, generate pulses for ADCs if state /= Idle then - if clk_cnt = unsigned(ADC_PRESCALER) - 1 and last_sample = '0' then - ADC_START <= '1'; + if clk_cnt = unsigned(ADC_PRESCALER) - 1 then if sample_cnt < samples_to_take then - sample_cnt <= sample_cnt + 1; - else - last_sample <= '1'; + ADC_START <= '1'; end if; clk_cnt <= 0; else @@ -193,50 +166,116 @@ begin -- handle state transitions case state is when Idle => - last_sample <= '0'; sample_cnt <= 0; DONE <= '0'; PRE_DONE <= '0'; ACTIVE <= '0'; clk_cnt <= 0; phase <= (others => '0'); - p1_I <= (others => '0'); - p1_Q <= (others => '0'); - p2_I <= (others => '0'); - p2_Q <= (others => '0'); - r_I <= (others => '0'); - r_Q <= (others => '0'); - phase <= (others => '0'); + mult_enable <= '0'; + mult_accumulate <= "0"; if START = '1' then state <= Sampling; - samples_to_take <= to_integer(unsigned(SAMPLES & "0000") - 1); + samples_to_take <= to_integer(unsigned(SAMPLES & "0000")); end if; when Sampling => DONE <= '0'; PRE_DONE <= '0'; ACTIVE <= '1'; + mult_enable <= '0'; if NEW_SAMPLE = '1' then - state <= WaitForMult; + sample_cnt <= sample_cnt + 1; + mult_enable <= '1'; + mult_a <= PORT1; + mult_b(15 downto 0) <= cosine; + mult_c <= p1_I; + state <= P1Q; end if; - when WaitForMult => - DONE <= '0'; - PRE_DONE <= '0'; - ACTIVE <= '1'; - state <= Accumulating; - when Accumulating => - -- multipliers are finished with the sample - p1_I <= p1_I + signed(mult1_I); - p1_Q <= p1_Q + signed(mult1_Q); - p2_I <= p2_I + signed(mult2_I); - p2_Q <= p2_Q + signed(mult2_Q); - r_I <= r_I + signed(multR_I); - r_Q <= r_Q + signed(multR_Q); - -- advance phase + when P1Q => ACTIVE <= '1'; DONE <= '0'; PRE_DONE <= '0'; + mult_enable <= '1'; + mult_a <= PORT1; + mult_b(15 downto 0) <= sine; + mult_c <= p1_Q; + state <= P2I; + when P2I => + ACTIVE <= '1'; + DONE <= '0'; + PRE_DONE <= '0'; + mult_enable <= '1'; + mult_a <= PORT2; + mult_b(15 downto 0) <= cosine; + mult_c <= p2_I; + state <= P2Q; + when P2Q => + ACTIVE <= '1'; + DONE <= '0'; + PRE_DONE <= '0'; + mult_enable <= '1'; + mult_a <= PORT2; + mult_b(15 downto 0) <= sine; + mult_c <= p2_Q; + state <= RI; + when RI => + ACTIVE <= '1'; + DONE <= '0'; + PRE_DONE <= '0'; + mult_enable <= '1'; + mult_a <= REF; + mult_b(15 downto 0) <= cosine; + mult_c <= r_I; + state <= RQ; + when RQ => + ACTIVE <= '1'; + DONE <= '0'; + PRE_DONE <= '0'; + mult_enable <= '1'; + mult_a <= REF; + mult_b(15 downto 0) <= sine; + mult_c <= r_Q; + -- first result is available + p1_I <= mult_p; + state <= SaveP1Q; + when SaveP1Q => + ACTIVE <= '1'; + DONE <= '0'; + PRE_DONE <= '0'; + mult_enable <= '1'; + p1_Q <= mult_p; + state <= SaveP2I; + when SaveP2I => + ACTIVE <= '1'; + DONE <= '0'; + PRE_DONE <= '0'; + mult_enable <= '1'; + p2_I <= mult_p; + state <= SaveP2Q; + when SaveP2Q => + ACTIVE <= '1'; + DONE <= '0'; + PRE_DONE <= '0'; + mult_enable <= '1'; + p2_Q <= mult_p; + state <= SaveRI; + when SaveRI => + ACTIVE <= '1'; + DONE <= '0'; + PRE_DONE <= '0'; + mult_enable <= '1'; + r_I <= mult_p; + state <= SaveRQ; + when SaveRQ => + ACTIVE <= '1'; + DONE <= '0'; + PRE_DONE <= '0'; + mult_enable <= '0'; + r_Q <= mult_p; + -- from now on accumulate results + mult_accumulate <= "1"; phase <= std_logic_vector(unsigned(phase) + unsigned(PHASEINC)); - if last_sample = '0' then + if sample_cnt < samples_to_take then state <= Sampling; else state <= Ready; @@ -245,12 +284,13 @@ begin ACTIVE <= '1'; DONE <= '1'; PRE_DONE <= '1'; - PORT1_I <= std_logic_vector(p1_I); - PORT1_Q <= std_logic_vector(p1_Q); - PORT2_I <= std_logic_vector(p2_I); - PORT2_Q <= std_logic_vector(p2_Q); - REF_I <= std_logic_vector(r_I); - REF_Q <= std_logic_vector(r_Q); + mult_enable <= '0'; + PORT1_I <= p1_I; + PORT1_Q <= p1_Q; + PORT2_I <= p2_I; + PORT2_Q <= p2_Q; + REF_I <= r_I; + REF_Q <= r_Q; state <= Idle; end case; end if; diff --git a/FPGA/VNA/Test_DFT.vhd b/FPGA/VNA/Test_DFT.vhd index 2bd28ad..85ecc36 100644 --- a/FPGA/VNA/Test_DFT.vhd +++ b/FPGA/VNA/Test_DFT.vhd @@ -44,8 +44,8 @@ ARCHITECTURE behavior OF Test_DFT IS PORT( CLK : IN std_logic; RESET : IN std_logic; - PORT1 : IN std_logic_vector(15 downto 0); - PORT2 : IN std_logic_vector(15 downto 0); + PORT1 : IN std_logic_vector(17 downto 0); + PORT2 : IN std_logic_vector(17 downto 0); NEW_SAMPLE : IN std_logic; NSAMPLES : in STD_LOGIC_VECTOR (12 downto 0); BIN1_PHASEINC : IN std_logic_vector(15 downto 0); @@ -60,8 +60,8 @@ ARCHITECTURE behavior OF Test_DFT IS --Inputs signal CLK : std_logic := '0'; signal RESET : std_logic := '0'; - signal PORT1 : std_logic_vector(15 downto 0) := (others => '0'); - signal PORT2 : std_logic_vector(15 downto 0) := (others => '0'); + signal PORT1 : std_logic_vector(17 downto 0) := (others => '0'); + signal PORT2 : std_logic_vector(17 downto 0) := (others => '0'); signal NEW_SAMPLE : std_logic := '0'; signal BIN1_PHASEINC : std_logic_vector(15 downto 0) := (others => '0'); signal DIFFBIN_PHASEINC : std_logic_vector(15 downto 0) := (others => '0'); @@ -109,11 +109,11 @@ BEGIN begin -- hold reset state for 100 ns. RESET <= '1'; - PORT1 <= "1000000000000000"; - PORT2 <= "0100000000000000"; + PORT1 <= "100000000000000000"; + PORT2 <= "010000000000000000"; BIN1_PHASEINC <= "0100000000000000"; DIFFBIN_PHASEINC <= "0010000000000000"; - NSAMPLES <= "0000000000000011"; + NSAMPLES <= "0000000000011"; wait for 100 ns; RESET <= '0'; wait for CLK_period*10; diff --git a/FPGA/VNA/Test_SPICommands.vhd b/FPGA/VNA/Test_SPICommands.vhd index 3bdc1da..957a524 100644 --- a/FPGA/VNA/Test_SPICommands.vhd +++ b/FPGA/VNA/Test_SPICommands.vhd @@ -48,19 +48,18 @@ ARCHITECTURE behavior OF Test_SPICommands IS MISO : OUT std_logic; NSS : IN std_logic; NEW_SAMPLING_DATA : IN std_logic; - SAMPLING_RESULT : IN std_logic_vector(287 downto 0); + SAMPLING_RESULT : IN std_logic_vector(303 downto 0); SOURCE_UNLOCKED : IN std_logic; LO_UNLOCKED : IN std_logic; MAX2871_DEF_4 : OUT std_logic_vector(31 downto 0); MAX2871_DEF_3 : OUT std_logic_vector(31 downto 0); MAX2871_DEF_1 : OUT std_logic_vector(31 downto 0); MAX2871_DEF_0 : OUT std_logic_vector(31 downto 0); - SWEEP_DATA : OUT std_logic_vector(111 downto 0); + SWEEP_DATA : OUT std_logic_vector(95 downto 0); SWEEP_ADDRESS : OUT std_logic_vector(12 downto 0); SWEEP_WRITE : OUT std_logic_vector(0 downto 0); SWEEP_POINTS : OUT std_logic_vector(12 downto 0); - NSAMPLES : OUT std_logic_vector(16 downto 0); - SETTLING_TIME : OUT std_logic_vector(15 downto 0); + NSAMPLES : OUT std_logic_vector(12 downto 0); PORT1_EN : OUT std_logic; PORT2_EN : OUT std_logic; REF_EN : OUT std_logic; @@ -80,7 +79,7 @@ ARCHITECTURE behavior OF Test_SPICommands IS signal MOSI : std_logic := '0'; signal NSS : std_logic := '0'; signal NEW_SAMPLING_DATA : std_logic := '0'; - signal SAMPLING_RESULT : std_logic_vector(287 downto 0) := (others => '0'); + signal SAMPLING_RESULT : std_logic_vector(303 downto 0) := (others => '0'); signal SOURCE_UNLOCKED : std_logic := '1'; signal LO_UNLOCKED : std_logic := '1'; @@ -90,12 +89,11 @@ ARCHITECTURE behavior OF Test_SPICommands IS signal MAX2871_DEF_3 : std_logic_vector(31 downto 0); signal MAX2871_DEF_1 : std_logic_vector(31 downto 0); signal MAX2871_DEF_0 : std_logic_vector(31 downto 0); - signal SWEEP_DATA : std_logic_vector(111 downto 0); + signal SWEEP_DATA : std_logic_vector(95 downto 0); signal SWEEP_ADDRESS : std_logic_vector(12 downto 0); signal SWEEP_WRITE : std_logic_vector(0 downto 0); signal SWEEP_POINTS : std_logic_vector(12 downto 0); - signal NSAMPLES : std_logic_vector(16 downto 0); - signal SETTLING_TIME : std_logic_vector(15 downto 0); + signal NSAMPLES : std_logic_vector(12 downto 0); signal PORT1_EN : std_logic; signal PORT2_EN : std_logic; signal REF_EN : std_logic; @@ -133,7 +131,6 @@ BEGIN SWEEP_WRITE => SWEEP_WRITE, SWEEP_POINTS => SWEEP_POINTS, NSAMPLES => NSAMPLES, - SETTLING_TIME => SETTLING_TIME, PORT1_EN => PORT1_EN, PORT2_EN => PORT2_EN, REF_EN => REF_EN, diff --git a/FPGA/VNA/Test_Sampling.vhd b/FPGA/VNA/Test_Sampling.vhd index a964baa..b56f330 100644 --- a/FPGA/VNA/Test_Sampling.vhd +++ b/FPGA/VNA/Test_Sampling.vhd @@ -46,13 +46,12 @@ ARCHITECTURE behavior OF Test_Sampling IS RESET : IN std_logic; ADC_PRESCALER : IN std_logic_vector(7 downto 0); PHASEINC : IN std_logic_vector(11 downto 0); - PORT1 : IN std_logic_vector(15 downto 0); - PORT2 : IN std_logic_vector(15 downto 0); - REF : IN std_logic_vector(15 downto 0); + PORT1 : IN std_logic_vector(17 downto 0); + PORT2 : IN std_logic_vector(17 downto 0); + REF : IN std_logic_vector(17 downto 0); NEW_SAMPLE : IN std_logic; START : IN std_logic; - SAMPLES : IN std_logic_vector(12 downto 0); - WINDOW_TYPE : IN std_logic_vector(1 downto 0); + SAMPLES : IN std_logic_vector(12 downto 0); ADC_START : OUT std_logic; DONE : OUT std_logic; PRE_DONE : OUT std_logic; @@ -70,9 +69,9 @@ ARCHITECTURE behavior OF Test_Sampling IS --Inputs signal CLK : std_logic := '0'; signal RESET : std_logic := '0'; - signal PORT1 : std_logic_vector(15 downto 0) := (others => '0'); - signal PORT2 : std_logic_vector(15 downto 0) := (others => '0'); - signal REF : std_logic_vector(15 downto 0) := (others => '0'); + signal PORT1 : std_logic_vector(17 downto 0) := (others => '0'); + signal PORT2 : std_logic_vector(17 downto 0) := (others => '0'); + signal REF : std_logic_vector(17 downto 0) := (others => '0'); signal NEW_SAMPLE : std_logic := '0'; signal START : std_logic := '0'; signal SAMPLES : std_logic_vector(12 downto 0) := (others => '0'); @@ -107,8 +106,7 @@ BEGIN REF => REF, NEW_SAMPLE => NEW_SAMPLE, START => START, - SAMPLES => SAMPLES, - WINDOW_TYPE => "00", + SAMPLES => SAMPLES, ADC_START => ADC_START, DONE => DONE, PRE_DONE => PRE_DONE, @@ -117,7 +115,7 @@ BEGIN PORT2_I => PORT2_I, PORT2_Q => PORT2_Q, REF_I => REF_I, - REF_Q => REF_I, + REF_Q => REF_Q, ACTIVE => open ); @@ -141,11 +139,11 @@ BEGIN wait for CLK_period*10; -- insert stimulus here - ADC_PRESCALER <= "01110000"; + ADC_PRESCALER <= "011110000"; PHASEINC <= "010001100000"; - PORT1 <= "0111111111111111"; - PORT2 <= "0111111111111111"; - REF <= "0111111111111111"; + PORT1 <= "000001111111111111"; + PORT2 <= "000011111111111111"; + REF <= "000111111111111111"; SAMPLES <= "0000000000001"; START <= '1'; while True loop diff --git a/FPGA/VNA/Test_Sync.vhd b/FPGA/VNA/Test_Sync.vhd deleted file mode 100644 index 2e75fef..0000000 --- a/FPGA/VNA/Test_Sync.vhd +++ /dev/null @@ -1,117 +0,0 @@ --------------------------------------------------------------------------------- --- Company: --- Engineer: --- --- Create Date: 14:55:06 05/10/2020 --- Design Name: --- Module Name: /home/jan/Projekte/VNA/FPGA/VNA/Test_Sync.vhd --- Project Name: VNA --- Target Device: --- Tool versions: --- Description: --- --- VHDL Test Bench Created by ISE for module: SwitchingSync --- --- Dependencies: --- --- Revision: --- Revision 0.01 - File Created --- Additional Comments: --- --- Notes: --- This testbench has been automatically generated using types std_logic and --- std_logic_vector for the ports of the unit under test. Xilinx recommends --- that these types always be used for the top-level I/O of a design in order --- to guarantee that the testbench will bind correctly to the post-implementation --- simulation model. --------------------------------------------------------------------------------- -LIBRARY ieee; -USE ieee.std_logic_1164.ALL; - --- Uncomment the following library declaration if using --- arithmetic functions with Signed or Unsigned values ---USE ieee.numeric_std.ALL; - -ENTITY Test_Sync IS -END Test_Sync; - -ARCHITECTURE behavior OF Test_Sync IS - - -- Component Declaration for the Unit Under Test (UUT) - - COMPONENT SwitchingSync - Generic (CLK_DIV : integer); - PORT( - CLK : IN std_logic; - RESET : IN std_logic; - SETTING : IN std_logic_vector(1 downto 0); - SYNC_OUT : OUT std_logic; - SYNC_PULSE_IN : IN std_logic - ); - END COMPONENT; - - - --Inputs - signal CLK : std_logic := '0'; - signal RESET : std_logic := '0'; - signal SETTING : std_logic_vector(1 downto 0) := (others => '0'); - signal SYNC_PULSE_IN : std_logic := '0'; - - --Outputs - signal SYNC_OUT : std_logic; - - -- Clock period definitions - constant CLK_period : time := 6.25 ns; - constant SYNC_PULSE_period : time := 1031.25 ns; - -BEGIN - - -- Instantiate the Unit Under Test (UUT) - uut: SwitchingSync - GENERIC MAP (CLK_DIV => 160) - PORT MAP ( - CLK => CLK, - RESET => RESET, - SETTING => SETTING, - SYNC_OUT => SYNC_OUT, - SYNC_PULSE_IN => SYNC_PULSE_IN - ); - - -- Clock process definitions - CLK_process :process - begin - CLK <= '0'; - wait for CLK_period/2; - CLK <= '1'; - wait for CLK_period/2; - end process; - - SYNC_process :process - begin - SYNC_PULSE_IN <= '1'; - wait for CLK_period; - SYNC_PULSE_IN <= '0'; - wait for SYNC_PULSE_period - CLK_period; - end process; - - - -- Stimulus process - stim_proc: process - begin - -- hold reset state for 100 ns. - RESET <= '1'; - wait for 100 ns; - RESET <= '0'; - wait for CLK_period*10; - - -- insert stimulus here - SETTING <= "00"; - wait for CLK_period*1600; - SETTING <= "01"; - wait for CLK_period*1600; - SETTING <= "10"; - wait for CLK_period*1600; - wait; - end process; - -END; diff --git a/FPGA/VNA/Test_Window.vhd b/FPGA/VNA/Test_Window.vhd index 5da84dc..fc53c7f 100644 --- a/FPGA/VNA/Test_Window.vhd +++ b/FPGA/VNA/Test_Window.vhd @@ -43,7 +43,7 @@ ARCHITECTURE behavior OF Test_Window IS PORT( CLK : IN std_logic; INDEX : IN std_logic_vector(6 downto 0); - WINDOW : IN std_logic_vector(1 downto 0); + WINDOW_TYPE : IN std_logic_vector(1 downto 0); VALUE : OUT std_logic_vector(15 downto 0) ); END COMPONENT; @@ -52,7 +52,7 @@ ARCHITECTURE behavior OF Test_Window IS --Inputs signal CLK : std_logic := '0'; signal INDEX : std_logic_vector(6 downto 0) := (others => '0'); - signal WINDOW2 : std_logic_vector(1 downto 0) := (others => '0'); + signal WINDOW_TYPE : std_logic_vector(1 downto 0) := (others => '0'); --Outputs signal VALUE : std_logic_vector(15 downto 0); @@ -66,7 +66,7 @@ BEGIN uut: window PORT MAP ( CLK => CLK, INDEX => INDEX, - WINDOW => WINDOW2, + WINDOW_TYPE => WINDOW_TYPE, VALUE => VALUE ); @@ -85,11 +85,11 @@ BEGIN begin -- hold reset state for 100 ns. wait for 100 ns; - WINDOW2 <= "00"; + WINDOW_TYPE <= "00"; INDEX <= "0000000"; wait for CLK_period*10; - WINDOW2 <= "10"; + WINDOW_TYPE <= "10"; -- insert stimulus here wait for CLK_period*10; INDEX <= "0000001"; diff --git a/FPGA/VNA/Test_Windowing.vhd b/FPGA/VNA/Test_Windowing.vhd index 58517e4..398db59 100644 --- a/FPGA/VNA/Test_Windowing.vhd +++ b/FPGA/VNA/Test_Windowing.vhd @@ -48,9 +48,9 @@ ARCHITECTURE behavior OF Test_Windowing IS PORT2_RAW : IN std_logic_vector(15 downto 0); REF_RAW : IN std_logic_vector(15 downto 0); ADC_READY : IN std_logic; - PORT1_WINDOWED : OUT std_logic_vector(15 downto 0); - PORT2_WINDOWED : OUT std_logic_vector(15 downto 0); - REF_WINDOWED : OUT std_logic_vector(15 downto 0); + PORT1_WINDOWED : OUT std_logic_vector(17 downto 0); + PORT2_WINDOWED : OUT std_logic_vector(17 downto 0); + REF_WINDOWED : OUT std_logic_vector(17 downto 0); WINDOWING_DONE : OUT std_logic; NSAMPLES : IN std_logic_vector(12 downto 0) ); @@ -68,9 +68,9 @@ ARCHITECTURE behavior OF Test_Windowing IS signal NSAMPLES : std_logic_vector(12 downto 0) := (others => '0'); --Outputs - signal PORT1_WINDOWED : std_logic_vector(15 downto 0); - signal PORT2_WINDOWED : std_logic_vector(15 downto 0); - signal REF_WINDOWED : std_logic_vector(15 downto 0); + signal PORT1_WINDOWED : std_logic_vector(17 downto 0); + signal PORT2_WINDOWED : std_logic_vector(17 downto 0); + signal REF_WINDOWED : std_logic_vector(17 downto 0); signal WINDOWING_DONE : std_logic; -- Clock period definitions diff --git a/FPGA/VNA/VNA.gise b/FPGA/VNA/VNA.gise index 955d340..f25e55f 100644 --- a/FPGA/VNA/VNA.gise +++ b/FPGA/VNA/VNA.gise @@ -47,11 +47,11 @@ + + - - @@ -71,6 +71,7 @@ + @@ -121,6 +122,9 @@ + + + @@ -131,7 +135,7 @@ - + @@ -154,7 +158,6 @@ - @@ -162,89 +165,56 @@ - + - + - + - - - - - - - - - - - + + + + + - + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + - + + + + - - - - - + - + + + + - - + @@ -254,7 +224,7 @@ - + @@ -262,8 +232,6 @@ - - @@ -285,7 +253,7 @@ - + @@ -307,7 +275,7 @@ - + @@ -316,10 +284,12 @@ - + + + @@ -330,7 +300,7 @@ - + @@ -344,7 +314,7 @@ - + @@ -398,7 +368,7 @@ - + diff --git a/FPGA/VNA/VNA.xise b/FPGA/VNA/VNA.xise index 1327387..7f1807b 100644 --- a/FPGA/VNA/VNA.xise +++ b/FPGA/VNA/VNA.xise @@ -17,14 +17,14 @@ - + - + @@ -34,21 +34,17 @@ - + - + - + - - - - @@ -64,15 +60,15 @@ - + - + - + @@ -92,19 +88,15 @@ - - - - - - - + - + + + @@ -114,7 +106,7 @@ - + @@ -128,7 +120,7 @@ - + @@ -138,18 +130,18 @@ - + - - + + - - + + - + @@ -160,9 +152,6 @@ - - - @@ -358,7 +347,7 @@ - + @@ -416,8 +405,8 @@ - - + + @@ -435,7 +424,7 @@ - + @@ -487,7 +476,7 @@ - + diff --git a/FPGA/VNA/Windowing.vhd b/FPGA/VNA/Windowing.vhd index 8271d3f..3750645 100644 --- a/FPGA/VNA/Windowing.vhd +++ b/FPGA/VNA/Windowing.vhd @@ -37,9 +37,9 @@ entity Windowing is PORT2_RAW : in STD_LOGIC_VECTOR (15 downto 0); REF_RAW : in STD_LOGIC_VECTOR (15 downto 0); ADC_READY : in STD_LOGIC; - PORT1_WINDOWED : out STD_LOGIC_VECTOR (15 downto 0); - PORT2_WINDOWED : out STD_LOGIC_VECTOR (15 downto 0); - REF_WINDOWED : out STD_LOGIC_VECTOR (15 downto 0); + PORT1_WINDOWED : out STD_LOGIC_VECTOR (17 downto 0); + PORT2_WINDOWED : out STD_LOGIC_VECTOR (17 downto 0); + REF_WINDOWED : out STD_LOGIC_VECTOR (17 downto 0); WINDOWING_DONE : out STD_LOGIC; NSAMPLES : in STD_LOGIC_VECTOR (12 downto 0)); end Windowing; @@ -171,19 +171,19 @@ begin WINDOWING_DONE <= '0'; mult_enable <= '1'; mult_b(15 downto 0) <= (others => '0'); - PORT1_WINDOWED <= mult_p(30 downto 15); + PORT1_WINDOWED <= mult_p(30 downto 13); state <= StorePort2; when StorePort2 => WINDOWING_DONE <= '0'; mult_enable <= '1'; mult_b(15 downto 0) <= (others => '0'); - PORT2_WINDOWED <= mult_p(30 downto 15); + PORT2_WINDOWED <= mult_p(30 downto 13); state <= StoreRef; when StoreRef => WINDOWING_DONE <= '1'; mult_enable <= '0'; mult_b(15 downto 0) <= (others => '0'); - REF_WINDOWED <= mult_p(30 downto 15); + REF_WINDOWED <= mult_p(30 downto 13); -- update window increment if window_sample_cnt + window_sample_cnt_inc < window_sample_compare then window_sample_cnt <= window_sample_cnt + window_sample_cnt_inc; diff --git a/FPGA/VNA/ipcore_dir/SinCosMult.xco b/FPGA/VNA/ipcore_dir/SinCosMult.xco deleted file mode 100644 index bae637f..0000000 --- a/FPGA/VNA/ipcore_dir/SinCosMult.xco +++ /dev/null @@ -1,68 +0,0 @@ -############################################################## -# -# Xilinx Core Generator version 14.6 -# Date: Tue May 5 15:41:30 2020 -# -############################################################## -# -# This file contains the customisation parameters for a -# Xilinx CORE Generator IP GUI. It is strongly recommended -# that you do not manually alter this file as it may cause -# unexpected and unsupported behavior. -# -############################################################## -# -# Generated from component: xilinx.com:ip:mult_gen:11.2 -# -############################################################## -# -# BEGIN Project Options -SET addpads = false -SET asysymbol = true -SET busformat = BusFormatAngleBracketNotRipped -SET createndf = false -SET designentry = VHDL -SET device = xc6slx9 -SET devicefamily = spartan6 -SET flowvendor = Other -SET formalverification = false -SET foundationsym = false -SET implementationfiletype = Ngc -SET package = tqg144 -SET removerpms = false -SET simulationfiles = Behavioral -SET speedgrade = -2 -SET verilogsim = false -SET vhdlsim = true -# END Project Options -# BEGIN Select -SELECT Multiplier xilinx.com:ip:mult_gen:11.2 -# END Select -# BEGIN Parameters -CSET ccmimp=Distributed_Memory -CSET clockenable=false -CSET component_name=SinCosMult -CSET constvalue=129 -CSET internaluser=0 -CSET multiplier_construction=Use_Mults -CSET multtype=Parallel_Multiplier -CSET optgoal=Speed -CSET outputwidthhigh=31 -CSET outputwidthlow=0 -CSET pipestages=2 -CSET portatype=Signed -CSET portawidth=16 -CSET portbtype=Signed -CSET portbwidth=16 -CSET roundpoint=0 -CSET sclrcepriority=SCLR_Overrides_CE -CSET syncclear=false -CSET use_custom_output_width=false -CSET userounding=false -CSET zerodetect=false -# END Parameters -# BEGIN Extra information -MISC pkg_timestamp=2012-11-05T14:23:07Z -# END Extra information -GENERATE -# CRC: 7fa795cb diff --git a/FPGA/VNA/ipcore_dir/SinCosMult.xise b/FPGA/VNA/ipcore_dir/SinCosMult.xise deleted file mode 100644 index a9f8a31..0000000 --- a/FPGA/VNA/ipcore_dir/SinCosMult.xise +++ /dev/null @@ -1,73 +0,0 @@ - - - -
- - - - - - - - -
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
diff --git a/FPGA/VNA/ipcore_dir/result_bram.xco b/FPGA/VNA/ipcore_dir/result_bram.xco index 3baf072..5a73570 100644 --- a/FPGA/VNA/ipcore_dir/result_bram.xco +++ b/FPGA/VNA/ipcore_dir/result_bram.xco @@ -1,7 +1,7 @@ ############################################################## # # Xilinx Core Generator version 14.6 -# Date: Tue Nov 3 21:55:11 2020 +# Date: Sat Nov 7 15:56:38 2020 # ############################################################## # @@ -97,7 +97,7 @@ CSET use_regcea_pin=false CSET use_regceb_pin=false CSET use_rsta_pin=false CSET use_rstb_pin=false -CSET write_depth_a=64 +CSET write_depth_a=256 CSET write_width_a=192 CSET write_width_b=192 # END Parameters @@ -105,4 +105,4 @@ CSET write_width_b=192 MISC pkg_timestamp=2012-11-19T16:22:25Z # END Extra information GENERATE -# CRC: 64af2239 +# CRC: 14273b86 diff --git a/FPGA/VNA/ipcore_dir/result_bram.xise b/FPGA/VNA/ipcore_dir/result_bram.xise index cb2b34c..0abc844 100644 --- a/FPGA/VNA/ipcore_dir/result_bram.xise +++ b/FPGA/VNA/ipcore_dir/result_bram.xise @@ -17,11 +17,11 @@ - + - - + + @@ -29,30 +29,359 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - - + + + + + + + + diff --git a/FPGA/VNA/top.bin b/FPGA/VNA/top.bin index 6ad41eca06b541954910e41fe1ddad37657a8fe6..1a6d8e3be5ccac083f2bc3b2f6aa2b7532dfe07d 100644 GIT binary patch literal 341712 zcmeFaYpf(mcHbBIn#mgW`c@G`XbD?XlrR7Xk{0aMkZY5;tB&lYtR-ZEEgKDpi>0Q= zgxgqsSxXRQ+T_l}WYrbwS`bl}1{PjHfb!a2fo{>HG2kbGp3P!|GA%7I{$lJm?a(B! z3k+ahXfP{r*kt+lkI1aot^4SClGdE6jK?`AB2Ju$$c$G;E|=Tz;463U-#j%>TJy=% z%zv`_bot2_2LJCTe{@9jTndAL~Kk7`1vn{3Cv zSY_`Q<-_mCy+# zHB86TWSZpGu2Gk}9I}pKkuJvk)UmZ(0!ie8OLCrvh!a;C!iggbZeb$yw$yk5?1>to z0wgB5h@{8ti$h!;=PK0Ne_6=DeuP;#8{D-mOp7i^(VKB&Y9G+p0~|K$N~kdO+7c2Xi3xY7s#T97Q5KPQVDt^T!17`Lfwh=C*s5+sE373 ztWg9*+m)g47s8Y@T|VP#(;B-bP-@7)NO)&jLN@p<_SDTR6+?m)CZ{drq1uk^*yPk8 z;d>~Fq)Z)n*Q0uSQ|ul`DMkpkU!hlcFaf(o_r`bOp!G5IVdYnYT-S%5a?Vz3o{~%D zCP+6Pnj>7pu}W}CiHc5+dOCJc_zZHa4{)LZwSpr2tm%JwV)xV-Sa)IQI*bd7Ol?e% z=uDE=L+&}k4@nZcK_6T=lW~$tz+E^Z=eqa}Rb#FIXXmqNUd~*b%w0O0=dGJh=cuF| z)GiGLJtrK(j@S24=&Q7G8HPFIFgM}|=N9JUW-S4t~ z?26;E#Wv1OjvPWyM%kp0-%KVWXFNI7HBu9?Ue$a!nTMzsG*=Wh7@9@1ia&*WWITU( z7k-7`@5sy$jZL_J3@cJhXKtPvmzd)d@c2)lsut!3hjMJoW1NH8V2(Iu0d^pU`9j_v zRJ~m~pV{MWd`}N{AHdpjIL|}5Vz^lfl3Sb&^XE zYM=0*m}20}ygc!v6UDDvwm)ew0}NDICWPga+Oq+G61SaS)>up`<{OYcUvA71g-IUT7_*6`86`VojMG zsS%@VJzKb|nkhcP?1a0sMcJ`onkj8fPX?7YbzxY~f=fOiL?Zk#!TZvVq`Flbo%Dh|t7PAk5U-0E(s(^M)&?AX$Y8H2dt6`q;-eccK6>$yNXy?hzc2fD zzxBJ%1pk}Mo5ma-LNUw3v-_XA^{HFJ|KZ~2o$RJ@*!P|=XU|su2j#I1hvvSydGl-= zxyN_!-lH40+uN;3%X?%F=p`_3nw11b65RY0{Ohc> zK!*oG0)qt3{rt~Mg1_=tW>j@a@Do4LG&>O!;(8J^FPK{`t;W1?XRrM|=Fc4z|B3k} z@tKcp@TK#lHNU82Ym>Ceey z?A5d7!RJ5!3UK$}aM>*1LIa0~XB!pz;PCFUefVhk;K5T*wXJy=qgQ)b!_p{3QQW2Q z_ULBKnCr$_6pwD)eC6gVcTw}xXHOIK^Pj(Y^UXJrfZOz2aG#TayVE05>?JrnJUDnq z5`^~af;(?h=lf67s%)9YN`puhn?$k9}Kn+>8)`r3z9zJ+LI4S~v zT-Sj1u#+J2_7czlJ^%@ZB&wc(VCI7*1D9$^1a;aBy59>|K6&Txed9Ha;+y-Y98V{kmS`eZAR=@gYFxQ!bs;dQH*^~3j7Ms#Vu<9eGvRH#u7}I zen6-`X!O5^I3MA=5l)Za)DGtN)V$kyHxNt>6}AxL89W|%Qp068ZCxEj-mX!03O%IH%^#3n488@L-6Oei^eubLC2vICLK~% z%MS|NE_L{~VWSC)P?ja-ZcUrETH^O})-$()@T%;B(uQo|$4DJ15NXI#$} zeDIqp79j&J`8FZQxrx|xQ}b$5A4#sdZ-(H6JvB-s)&Xd}v=x&y$8W7}ZTT$rsyliJFUHJL1P0E@vAo1v;t&*BtZIT8_rE|eX zK7)h-xzbPY?KcWP4}-R`nRrL0y`T63YlN$u%de>s?+NXrmM1aC-UX!aq431ff$tqE zvMZsWzLcZMgn5Bc=3PDUWA2LciFE7>PugRXGOCvtp_bq;v&n>rsWTocg6|!@?Ty1} zd+)_|Qcui0VJ>9IKrA=MX+Abhk{u5SqM3q}QT!xHXLzM&T0(-+9>>|W7F2|`cgR*L z(R;+ybpgaer4VgX=IIgrZR6@=vv9P!dAcyO%r$A+f~5Yp5ET~c zVxp-Bxz;HL>~$(&A6z;MqxJ>LoSUpp&_y@=wwg0ZxCii5#$iKENQ5gB#tH)IsO3sS zMZwWSP+sr3-2UwCI2wPs8^uTac1?LR1~(&aQ?doYt~*%fng zA6fp|uRT~czx`_(AediU{#xj@zxwv8Z_EDx2&1=v73QHj;#{)X4g6g1k}trDvqxNR zM+wZ`l?3M3LV&05Jbg!wn{VEH^SlHPANJ|KI|<$@9z8lZSl&H6jAColwlsh0PaWJn zTz=!}Z+t`aC1l1g$g*T~eRdXH_`d#i$tPWCZ?@cP*!8a|yRNs28#fLP9uUvLfoK}F zbrP6wJpJ_3q8rmopb-=UyH12Cfpj4mWWFQl#?0r&(B{r9L)!hA`g>kr4E^Kgm&^;< zlV4AMvCV!bd*a5&ezE1|{5#o`?cjf0CC=EHmMu;yq(<9U017_%Rr9M$jiGNG1ce{G zefTz-Jp{--W9kQI%dj-NYI#OsWG(4&fb*&sl%t% z5-_GVXLnZ;98gOAY{r-VPM_{Olpyc(^&pH;(dWZkAt(RrpZ&ofY>t_iXBrEy0iC>) z9IqqTaJk^_B{1C>dN}-Re{E9&b54SdDBiI%-3UoXRgXM|W>)v;5)(+Af#6ILdW$@# z$9f{1>vUv+`CS>|fy09i2<74uJ?t1Q=LxYypiJx_)ev?tEpmDWHC+TosSKi4^{5w#8jUkGMK$7yOaEqQt;_p|)H(Jp*eoSU zjhgAC)-e0r(@U}lMBjWc9@W@0Cee8YR?U$g59m-&r5!U%2KfL<0Ie_x<}y4&HuIpj z#PbvGG8ms)1ei>Q7#S#kq8pw*nJS7|Ha7=L+62mA{)yu>zhEqG_GFJMI-E1D_8#JyKbghDDripSsqd>w6xQG`+%Bo}?%C#P#^U9&&HY<~A(9maW z%SFY42kmiK&c}Yxx?!H$GPMZqlJVG4$|aFY-4P0U+BVBo`jtwc9Cw5Zty7cvY0H|` zDDf?2%lIUpH>%N&MX<6+M>(ZIcRF>Y8%{^ZDehL$(R(^ctfB16k;xm%V=iRNhF+_aTanc0vc6BM~a?NvUu#-hYzW^$Apa%ov%Gsap=g~{ZCC(o5AyqE!`3uuC) zXq~SIy-1Nx`Hly(-OC*v8* zSxH?ril>G)UzLL}#&d-k7qWH*Saw~wvVf6&4%`rCtel~q?}Yk zZ-;3$VIGS`hfxw5wxQFjP84?UUi`q#_0GOp7_TZa>T%`Lc4uQ)dPfH)|Gi!~dkR8EJ$X9}@R{ z#{nUFqt%?D3ZhsPl_b5FfM2(`N%9L)}74e z<`n${5Q5%Y+0+Kz+SE23-@pN3AOx>Vd zcomj85#5v_zY$}cH_8YVAV5_ikI&$}9LjN%q|DK96JKPrq%23)YIjMwpkp#MC&RH# zTAsWvv5Sm(Z*RotcW;y4^5Z zSehEUjb3E{wC-fvu!|YdV0J*8RQrvpk=<=^gnLrJj61yFqQ4g&Zn4EiJVA^kAfl;T zaA@b1kOAi#IgJe_oobiR3Fv!iaeY*wI?z<)bUKZ`b1LY$xCO2IQ{0$m>TWPa7n7DE z=21h8h`0qI%+NGGhCcF1n)wqRMS(j=CNU7gi6a5e=m%Fv7jg~cDVthLS=hMCQu?r= zTuPRkY@KVHZtM%!kmgpxh8()N1JNauSvkW#!#uHWt=AMZUSJr^b4E({DJ^Tw1gB{Y zIk((g^Bg-*^Wnrc$djk#fQPPic4iv*r%obC424kM@|3JdHUVQ^feU7I%h$UCI%+gH zF{Z}&y39){X_zHx!@%sgN(u&TN2kTiCFN0BfX{QCqt{$i;Y+YKTB<&aH6$;pnH#!7Yl3|$Da!Oz4(uk>k4Chb%hMiU47|ea zLY+;iW@Xu$)+h8psWNtMm?zNCJU6FwLynI}*3HIte9E|{F3QrP-!`3&9c_&AQoT$m z3NxJ#%(1U?V=Eui!MzD~)3b8Z9UZmp)Df9&hV6*jSTLP2QeDp+>lv9#)1wpZ;j_k; z#eCEbGRhY1sp+1izQM&xBt4pP*yNNJd6u~W;=Blml`;0vHB+)vj~WtoEse{~D}rcM z2^e;v<%x)9Bz|Djj*TIApdn8ZIX){|9I(l!7%n>=sOk<4CJbNu! zTq}(Cmes!$Cfdr_LBolv!*q`4VdPj9G&W$hFx2PJ!le-Mw|*Sx?BjHqBbgBq=(hvb zkB4@YWh09iQ;3db-d0I4AQ{p1%)OzV`JA1YAP$ zd14DdmJH7Eky%6`eZ*se4nSoOqXWi`_$w~@m&pW%6dpaclX(LzO&Z!HgGcUPIb5ZN zmsE7>!hCjIw`F@wc{w;vp^DZ_$&8lZ6lh=;4R}dNv=Mq+IHIQ5n_}LMr;h2XJY&KL zHaAC{ImIo{JWrH>?x5)jG-t*pZ;v40t4+$D?=-0bM`IfJ&}m|_qLoak%UYrKLE+)d z*cVNu_6+lmP_mgZV05PN$D>fzzI2p|oR-|6*<;upC3JM8aO8nFj#8G2)d8WF;794Y zDIFcRa2oGVkTp<5-Ga(yW7gACHvA|}s^iJn!%?M{SS*1~TnNAe5U7tZhKg{7fQIEjegSL35+&R3KH%s@omV3{#U> z5t7A33!lo>!gT`{+!2T`LM>~NEXwxiNL7a`pgQu3iIEEMa#+oK3KsdFLV$?Bz^(${ z?WYVoWv8z{iH?Dd5cdK?#?*Y~buuS-J(%YVtrciJWoiEQ-+uGveKzQwee3L7-3k`m zT1wDri}oCbRjq(qn)~-(d~~~wt6VR>*snNn&{ zSto%xd*xBFYBkxR|Zc+?0Iun zf@hxj{_hW5S4eR0-WR_ZV^axUCBfhy&+na2J7Tns_?s1baqqDgm*HAtqTS>By}IAy z;-1~!-}HNY&G5gmx7sRaPLe&k?CS0J>YAUPKVd%hi^lvtle8I2WiQZ{KNeG^T?df; z{PSOWHtxxdwLPex{4ei*;uFul@$4JDpJlSNl{a)+rkE>tFlzuWkAxJ?_L6=i#Hc#}`*vfmB|%W03FG zt>xi^<*Tp$*DvX=ydN%-fUQZB%Oo)E!#2iv7|JKMn9UHPUPpo)UD(h&uuP^|GwnJ( z6jMR}tjOsd+Hgm=pmKinBzSBp{_tqv7H*=q8p|orBjZ}&F5nuj@N(I{qc~roFK{5; zV?#oSSU^gv@5;@6X-|gp;l$O-u~WwNPz)W_N`mC8?257isVV5Ib^%9!{whc#Ls%og zNsrs0^WMb8x+nMqzBX-fLFZu)U!li%Oire_3>{ombcgFWP;p!iGrC`N{R=ZDx+0@b zGDqig%N#EwFk2>>8RH+cqcOvfgw-%!z(W_>6=vzEQ9?n1h=N#fcF9RJK@u%YyK-$i zNGMm;i&|zOvrZdFz^dV$DKpS!EQD0&g1R8V$Za`e2dhMmfk=df0TrPcTR68MUHK3Y z2yZB2SHUM^%ypU@Zwz+jm!6ZlAK6C^1r^|li_gsc(_ z9eW&&!*65$i6=xTYd=VI4FC|svpljmW44#nq$$svUCI=0Cz zYmCfyMxWYvjoNkD9&voiBp9pzv$`#-aWb1*NS4~3(>_>U zoz;nRFz4Q|5@u3rg*jqrA(<9!G8l{G5%oAV7AJfx9JAjTtbWN+EXj@-ICc%|ECO3r zx@%sA$3YBTq)lEQ7;u$eL+F@3?A0J%!qFyoawUsD4p8rIE? z&sm}>hb5~+uIUmSclJK3=v2lS0rp|r*rR;arV@p~h}sUe29N0F5`Yj$IB&6Chq~CB zciU{KK?E}M2^&z{ggdbd5%buP7*LpMrA$f7Oix0xrp1YVfUbA-6QxE|Zg0ors*=Nt|NlK6f>& zDx%crDz@zwo?4`2DNxL@Jb;r?og6nzf~RUV-a53GMNxBP;7_81^hlDE5}J zO>ssDL;Kz%I~mxRtpvd&GbkJH`x}7*g%a2*99S=a*Po1pV80wk@xpB2Z?F7RQSf(G zemOeMC;HvHn<4K7hD#0l`TfW(_O*?9_S~lFF4*t{e{aW-SDR4+m4g3u|LYe^zz*t%+Tgtve@L-Uqo?$CkH5qY;Wx&_ zw;q96`+HsVF=V$M#>hjsfL*)rM&jD;!(C#B@Ea*+Ou$_tfjMj+?Zp4?B&fKHH(YuO z>;9?^fbO^PqSIwk$8_);h;$r!)i%i3UFuG(!B|dSgr&6#O7#c&sX*Gb} zt`c}0uw8U@h$M+=jupfCr%b(y7*~VGuMl$i?zckXu$!LfRwjCo!aPhiAi`U9J*l&m z#S1`z*O57VDG|94nZpsHKQ1pkm=T79-Yol?AX0W^bRjuerdwPZAzCqDPi z(?h4fqGWSlhM$%PQr3fvx4s<`LlDSOU+Cn?KpeNH<46Dw-=f--)v$GKi$AAo4vDlT zAp$dA!nO<&s+N(IvyP;wcI?tCeQU&d{zlqCr33b`fN$Drm$+69n7&KHJW)(xY$noF|~jJnq@7~u`PYjn0x}iS4=eM zX=93ohOcZ(G&vI<)1%f}>cE)RQH6->a3`rvoj2%0!IC`aynw7bNW6;#-tz`?4rFZ% z!Rnr49Ln`2I}g&T0o#hi#spqQ4Z2;xh-=Q;7hCG4ZmRi$p|R|%@8WK-6Qt<^M2voUWKjK$ib;xw9=al(n^^pbF=ML@weYr1$NVs8oE5Q}{f{=`y%vc<=N*p@!3?4XAaa;=Xg`QyzwSUZ%+_78euFRH) z9G#l9F|HBaq&ewQ;={#|f}79gMIGrpr>&N$+gvelwg~%-2{A9`6LI9trKyYPXisn^ z>D1)Mlpl3#u^@HFy6SPFh0;V-gSx?s&nn*y`YHJgZQ?{sGlq0iZi~dY7Iq>KHB*z*1F0TMHbx zd~gIa*W-qEW{j@T@WYe74L)Jpk?vD!h}1|fE5~C4>ndHC5ShgjmvCl{qSV7#&<3;H z{fS#HW}G?~mgVwX?+*HB>}D+1*nm!PSQFZ}56P{%?ht|&La6Yh*bIM_n{9vm)?kA( zU^;QU@k#J=K$=fvW&<}E~ zEKPNu;X1i6L%iW%Q_j02vko4S%427Q_*IsUXQortXTirc_-3YVYwVx{s-Se$m)~|` zaI^YB&=5g=b(ui*r&C)Qo0}i=J|9$zJZq1gaPJmYgKi2NgIj%jK<^ z6uK{rGb+mT#5?Yu1risK9o1*Vosj`V=Mz>G^Vv|QH$1)EZC>Ls*YBrb%k!KnQtj6+XS zs~ho@!M#peWvC~Xct39l-O4Mm6Xe9Tz4j*B#ZZaljj%EgmLQc#FFO2Gv$hFXNifz5EY%)rGK~9V(_Bk8OTZ3>S?Xqm0ar$1e5G zj)z6+LOXNg%yvPoQMJ(8o5yusNNf`C-zM1`gi6S1x5=V%6gt%vE>67#0>8qX%Ht4eR4lo9;g`@^|7_g1IgGPZ*6o_V>WhpGo=9YU_oAF)@O znik)h8b23ed2q&YlJ{Bih`S=?j}XIYWkgpGSI5|MM*C|1?>zCHCy)R+@7+_FM>jaR zB*1$kL5%A|G|5I>XWB2r;Q?pc9xM-DyYbp<;y7I1=WtQZs$Hp0p|{rbu88qmI*REM zKmrnYcyQy!jVOV+&oQNE8xkn+t^}7vc#g!HTsTLkxW_(M&Y}(H>KN=7@PEuStp0tv zr4cs$&e+b+>fgtH^DmoUVzqDOV#6pg^f8`G>KcfBrSa<1-}ikA%5g~tI)}LTFP}en zK1R7@t8C|5tq(^3dt>$~azYX%v6kTMPF#Q|6}HO0XA`97dj8Ij{D|t~AuKnSx8w?^*+yyJp}AKAbJ%ctkz#BbNnnBmH*Rc5U~aO_`khLU z6Oyq{Y!(P=?eM#n+d=f7vbk4@3E;Uf;;mBm#P1bi3y{|_HtldMD205rL@7lchrx$a zCkmE0GSzr~8!TQ%PJVl+!s?VDn!y=-+x{Nkk$U1dM1@*I_Kd5dO=Nx}#5rdlyeA~V z5zRGj+aK^TU`zbc#8fyNb)s4jp*CqyV9qjx@y1!9rTrNAf?--Ct}7uWLenT=Rm>tL z*$}bfR>fm4?U(@?F2*YofNk9;<*Z1VM$nGZ@J)^kX$GdE{s?rF(!$L3pe7#8%cw5Y zhVQKy8-9sbEnsv&hC@B^1tM6_mnRH0#Dzp+f<`qdXappKZq^12eC9aOn976fZd<{% z;_Q5#l}Ec_*LCO2$u{q}+f_kW5vJDA^6cDhgwysttrV`JW(JI1fv_>pgxRsW&VC## zR)(DrEh9*_(~`(clvrvzJb20ed5A6RJpMeUOWN;JEC>&FL$nsuKCtJJ8u9q^Xkmn2 zUk;zH2)=h1J)8=BPJ_l3+O6oSiV=j%xx~Ezsafd!+zrgiDeldyBQ$M?@BD$sY5xIl z5A?V#yOEF8*bwfix6&(Y&Me;lKSowT<*)MtCvXm5<-14r)<)R{4_Z?nty8|P6yM!n-bedn{~ zFFmk7{qfKBY}@wc7=D}b4ty6#V3yDFs^UfPSQ6}S(i>4rgsl;2pcTcPJ9I}b4Bdz5 z?TrX=rdzdJ546kRLJ2NOe=YNV322-f%`>LH8Y}B8T4NY1n{XEG?g+RaOEZT456zG7 zmHY+sgwDM!#)h{`v0r%Vi7@)^nW4A6`$Myrcc1#kI&mG0_kqKMr}nh3_g;z%>HrD- z${+oEe`A%NU%B3M$7K@y${+0{=XU(j%YFK0xK}5iVM6_XdBXgkzx8K%F8ssc$CJ@t z|FM@|&;QeIHg7xhg-vvuZI7>UYb4uUOuFQ09iVm1a=lE+&ju3) z*^dXVW3PC4&2?El#=>vx**55{q32=Y(goOH_D0fqwRC8lxfX1(t+Z#EI`Cb1M^ybU zqVg3bIvmz2Xh-WU9~>4lx`KDh*t{Q3R}?*g?aHUO7a2m}Zv!q4DPvd4fzkeh&3Nd{ zuZk&3o4{qc_JL>tlt7meb&~{^?$j8&3V3#d@tHzS$+D^Q*5_kR8qQ!LaR~_95i1@h zqo~3U4B<6=kOr+{yApCShOziO@UlzW;^+b=xTu^B(L1&UGj4+BxeUuq!ODj$flm9X zD-o)zjS~aWZo0aA2i(U_f)_Cnqo%vxSf$<5V?a z12)s&K;!iKIlqC#p9F6-p_@2)qKy?6>1KAQVKMkncZZfyZrRmlO#I~ zshmxy4=cWl93)0DSdmMMCphY^l=1>p{}aW@5ZGX@4Ho?sICW|iCb7;Z>3}U2F=i+c zDy;tMaVxt`c=k|-THdJCa%}z*$+Ll7!$^Ci_Gs ztjl??vOY=KU75DcL|>=9280~@%v*RlrOGoaHZh+06Wo4Lr1jjN;Gcy>aj-7%nZPe~ zc;%}s2vKo)sui)|$P^pAS3n9~mmhO)v=h$O9s8Vs18#t+_l%W6F6P{hSb7I<;VrNo z6{rmfI8Ol*f!-I;_Td7KnYL&;j!9bJ*$aKumG&H0ou+ITWzKTWX5p!6=M5)AO|y1h z%qAx13?#$oe@My9h^}edM!PPij*h4rzwlE3UvV_YkZ9e+*Ta# z+72?S)%Cf{C{xGnKrijE-0IRr#NwnKo%oXhXJDNwD)3cj_q#PX z2%7-04iJ=|Zjf@wax$+2OtRPTB{V^kBU78$6veW4nHtKCu%#C8X&~Vf?Qg)2HP{e{ zIst}|bKdu8O$x1@Q7K@VCy=g!*ELG7!l_gD#2ftk?O8|f?K|>^5EB2wkfL1+mP^jL z;gG85@7%ok@fYu#8;{P+Yq!qKm%n`f{^wZeeeKrGoAY~T=72A+*g1%U^h4zG5CeJbZZg&E@Ae*5pOK3f{cQS4V;bFMj+!YwTyHy%|5h z@;P1~ZEr>i`dIczz;i&(7(+KmT%U^_~STD65Luo_w^_N zl>9mp^z#D!8@5@RvA!I>dUK$!-eh$)xM705jsG3^K32D^y?5W79scx_PZl4ed;fxc zf{pOMWbT7y;-~D041qm-^`Y{&Qm^jS8qfc^VPHG zXeax?pF<)6-zB1uSLb0xmgn!V;=Uun&Hs%CvH|-fcn~D`+`-qoa@t@|H{3hU`1PCZ zZ`j_-4voHFrJQcQb-WoAxuNfa>ecMgF8&e$xUJ~>JNqQi4uf|h!K>Ay*t6UfW5aJv zZY&ihc5ladPjUsg2p+4{b0+u4I1T(f^~9+zWY!IfJpKUF-VyCpjKS*_50~w1xqHYt zU#CA6xW`3XwV5s=%()F1-&Vrt!ql=4J%)YNTioJ{FvYU`WYU8Ow%l^4o34DWL4GT0 zBKth}4b()>P~%s>o>U358L0@n{>bc&C7~^#t3cO-Ns~OMhY|xif|v9FQ=a1tq?{6- zLbDvw;xH|K6>0?8D&V&BUu;PzmM4d)wJgGn#W-cYhMu~XG|M4<&f(Zf25}ZlljKuk zA6MWMk^(TsT03^mDzxPi*zk+)EwdLo#|5E?#K@T*W`lAhWIt!6+}D?!V< zW5p@m%H|Y_gac}h^QFMNW&K+kgU_grBy#V9?-5YtZum{5Og~(yTL*nd2z&55w=5F% zvg1G!L8TYrc;Qp_Mb$BI$;el(4F|`+wakG~n(Cc}R6@jA;PDi0fIjjN2Mxy*py^pj zVK#7>mEC!-X@xgfNs`aOupO9@t1Ig<1ILu`P9n`U{)mF5?3HJOYC~4E(vxfQfzC4O zoG@ImYYCbte$HLS!m$NWZCfZjmg+a;ok1zo<7aQSQcWbsfY*=d{7wxgE>UDK=XR{O z#d41au&BlIBSd^XIf@G<8K*W0JUfQ;w*hSED6`fKi@G8nm-Ch!@7Nk=94}^2AIB}G z9a{FuqK}apm@V^EC9wtLE@ikjJ{^VdfHX>s5t`0kSt{99H(JxM*+n`K<{bDsqCA#I zy|SqTfN~UH!;Nm?E`Z$bA)E$Wl5k=d=_`2&Fl3m7nTzo3>&kx2DZy6N7f4Q`*&hjM9V7xB*e|7CsNibc3!BYPIGAEC}XTl*2E#1MmS1r4GIfC_F3>} zdh16ysJzK|0n=FAO%6)nQK8{-xHrJdO%{6_hm-0PO^)MAiXSdViafB|nuk5$WGLzx zAMB~Eve}Xh0#Ofg_RVRgDkZ3flOc^7cIrXeOj!2r%CULGYlpm%qC7;bQflX~TQ8-jw<)}5Ck`_@lp^DKuPM3Qcz+t$;Dpy=jJeK( z2erB?WBC>Hs;)VLtQ=L=S>8h74REG|U^Ju9;8aP1*N^-{4r;^L2Mj_UL32~`Ha2;@ z8^U3{^-OO%IXA(F*#XF@4`|*}Mz>~=99dLMk>F9mv?iw*TnyS^L6w&&w^WptK7VYB zXb}Ay+G(g_gztdX8Y1icdWHgRV)M?HWj<@n>%nn5j=f=e{YlQ4HZQOCzsoO>tvF;q z(b;hE2UGPNvducg?cnc?4&T#PcA%@jz0v2^uGgpDeky!Xwhtd|^}p|bmC*>-mqM;0 z{_O1Fz&-`T+#;|C?PX?Hw35->KPdw$T#6mr-xs{btsKF3vmX`Mopz}PkB zyemo|5hTIN?ij<#Q(cPe4E~|wheT+(G$NM!E@|re^8NTVSvE*_{CDquE^9!9eleakb!)5{LGa1IeUXAH$EtrK-bOL;b5DA4N+s{A&LgGF>TrqsZ*?L=z2g^he1aMpCb%+~j&|NTbz;HbnwLS!DSlA~<2mKn5$_g16m9;?oed@d8E|9aSl8K^L(L$WbKuRE>suV>y?^7okJB z6=>JvcM+YxboziSR7+*(v#_lual!xuI>KU~verJC568;GVpj2~U$N+VTu4YdT{H?7 z8(nl+Ssh5fIhU%eV*=SRr!(WQ^QO#>6DrW0ldEPkE6t_Eb}{G>msl2?JatRQ@swGt zIf;a3e!lK1d4ZEbno?`WPvSJ=-P%ng>w@|p%?$UjRU@b*qJf!pL7e*Av zWhi)dV~i>N6fyWndDsB6$m-M6aNb6E4J$D2Gkl21pCd60r(_*vD>a^n?qmZ9@tGhM zd0JhOSw7XI8+cJ3Bh>hO&>c({atF)2T*`curXpO@PcZEAZ247N9JeiNQ#(x1dRERy zv?%F66vYxyI_<+vuO__+Gea+V7<`vNL`&WFNzZeUsLhZ1`7B`vb54 z-pX?g$IXBC+FvF!v>oN>;ltNj^W)1`6ma?c^7(5-aE|41B`^<`cbCQTk64vQf^XE{ zsNbywzw>*)bD<8-fO8^)c%hx|RR!nB^$g#xbB-t>Zvt(})JjV!h0SrxJQKa1^D;vz!c?KT`@RmAk39jK?21y zmJ5C^i{KU?ahPL;j`>*E3=kJW+L*kwtrFq|E;kDAT4J206P%`6ncBB;-M<6-f#9X9Sxy>hJpYR<9T zsNbaRsP^0kY@JS+Z4NT8_%<9qoU2Z}JCK>lxHXo!Y3FH)tr87PI>NH1AC4T(*zrcN zFv^FAw8Y88M@AJZQa0&us4>H*{cz%Sa0oG?XUw8Av1ADPW#&1EJ@NM(>BPFY~Rnf!Xg^fJvws3K|c15Z0UB$x3W|U)Ja($ ztP8)ikIV;$Om>-9C(`+;voofg*`AYeSpSMMFm4!LDdc=}dk2^Sn>h-j8jX=6yaj{f(SoW=-Q9+UnPclv zAK3;dQ0jAwZj;7X?vo$}i4!`4Cj0bjjdP}vkPA09N#k_7-x1#>ShMy)Iy*V$ym&`B zod=D=pQ;$O^WCM9hT%FB4!|Ga^=Pfl4iBGz^6fd-EPGs4Exz05DeO~!`)A+&*-gUF z{;XO4@-MUI?3Qj5zwLhbP#cy7a((%(HTLE<$9dm!xxKP0jt9VC0FYf#<>UG6@d$FCV|acz3|PpA*`ClNE2qLE$S)!){EC&;=Zw=9P>Jd$?Kwr;-0o zX1<>I*1T}@^0D(h^XYb|7`EZ?c~-uGANnDb|J3r_o(l@(ur&WNl+boay=AZL|D&%T ze%-jc?ptphm|y+X$%W&;@5+O+f-&!+l0b)d4$Z^mg9ktKLua(fvpo`+3nd7Hck_=} z;$FUV_oX-9I9opd{Ad1yT{(My*yzu`4*cBBe24bttzx;n`Rto~$5}Ode<{}WFNFJK z7bsYFf9mc}MgKRSFP~a|?ZMaHTAa1i;%{zpopXQF{G)i^cH!A7`di%kR}z>#i|p|1 zlfc~I7vQyn8wbWe@NfUd@*(B&0}*?HX^~(Dm~J-QOQ>92!Nt9p;t4ooZL}3g^zbl{ zXAN0&?xC!CT z4?o-2kPy5dvu(vSCv+5&yUe+i(Bzq!B68MrR9e8}JCA66^5Ck$iy} zCEF&Z4!;sAtuFeyc&2F*{iY^u>HY6YWe*TzlFkE$Oy(rFwrPA)t?X`eG31r)_P; zKY=H55QFMMQp2H;aGc8Ta9;sMwW!==F{vtFRqZU}W6_ndEG<`U#jbEkx2D(AiBH*& z104lKI$s)(93#cBm`^4yau6MUVz>er2=g(hVnB2i?+m1F(ctGT?{b07cjN;7$W_%G ze>>;vN${=c4iQ%zEgX(7_>5x=v(p7J6julUIY~4LVUjU+anc}-ByiSY*Qb$ALdkew zjtVk7sLUApJkUe*ih)X$R1A)fXOo4k%+Z7o)7yd=0>`BWkuO{t7#H<$qPy_|98)SW zc%TnEy0fO~=(1H!jB&!OIPw(-xnEf5SAjR<#lq^=LT&P>7n{mQyyK&a1{LU&CeRx- z0MTiS%xnd|O^)%RVLL+4F^8if+!e4`_`%%T(ZcwJU1Sr&c#j0wtcaJBKtC$&qU0O` zR@oPo_r3{qT$x;o;b>r?M2-a+S|9^wk+Rw6`H zxF-jvU-7)xj_E4G8GWTh_k0w-#@ddaV$ZmGUA7&5jS*EKwQYji^mOiWrg*C03o$m- z4f*IX%Vx?t`iRb(PzoXCa1M_s(CM{NIQroYmKEVTFb+wFBygqk*fM+8*v?^88hpx+Mwf+vSu_IX$&Q%jA*(s5c6jF0o zl*y}bthI8`(X}frL9j%MpS6`!{>lc9p&!pE{~7%OKD$N)8Kc(jP*qt)j4q{yzrbhurw@!vuDz<&LGAZCIq?2 zh{-^0xyeuX0_U2s6NbWeevOSznImbz_-J4Tmn?IJb6SOaFSt=@oxX0 zo5RVcQZh$_5&JNBNZ~e=d~{$^0a{Em=G(wUSils=)!?c{&Dmh*bk-(}TuiM9D0Z3T zeTv;iKP$6_f&sxbZKtXu)-qf*$*Hl4IIj=?*LNAd`_#e9#=Ndh3BdTHhudK@uKbaX z8DQ4gokfVE%Gv(qy<$F)y_7U&tZZYPL-Nf4yV4f_TEq`^zd$DVHrJ@c*C zUKHI?A zGvB&#PtWM6LFz& z6TRX7{GA)--~QX>#%b0Y#OU0bAYYo7toftuQdk`U2LJMKd!OnTe(VcBM%CGna5w(H zey5ZKmv9imxm7T|C$07O72+C>#{4?F?717>$X7LU9gKUVVdJb_W42xCw%6SKzwds} zFF&RPdb3#3l;yf@Y)AX-vsBA#1FTl;l3ljImKL{-Zux_2ZRb7Jnqj@NcfJf-C7y&d*?R+RjDyzdW}--))@S#O6&To|86#$LIu3)l0lquIsu zA$pEJWbfbL-*D0sr2TVg#3T0#U=p7$(dB9|Rd=?bkBxPiZ9?bUk3G-2%{M;B(9?aO zaF$HRL6_-5=y}dF^s)8!F2`PcsL9M)teE$5t*Gz0W7@SrL66W} z7GOoYoQrdT*5CYE)t)f+ZdXyP@S1M&jNQFRt&AR!ukGEkdwDl;Yxh>oa>94~A#1U& zZ(!wILD999fY|Q8tay6Ic_~~^dKdOuzIPGHy9#=|IAxFD<9xUGT_v|C@bO}D!eHC) zA$>q7jzSuZj!qWTN5uG01aV1{9G&o1tPX&me?a-(OvWWx4mP^pJ>?DCrrJ{L}S`aqN2 zOl--1%Iy)(CiVSy|3R+NP#h7xdHJ~LeG-Nt=AY>$_ycLfIVnZxFBPyrN%mc`O$Uyn6>RS#IqVVNnelkMMRu96?>Fs(@1QjA zca}bi9}PTaonz%G!uWmH-bIpq7$cSUJ+mJr@R0^S(!fU=_(%gEt_Ci8=)7-IJ=Qqk zeUsv&h(FT69}5laow?AA#J}tC9+Zy8;~)N*yu0DO2l@Gv9>wW9$-qUUpSFvvxBIwf z!Qpx@cDvzTJMGH3cCAn!IreD4t#H+zX+BZc9KFkeea~R;z4ASa{r?9MU9U5zNx0^5 za_b(4nSFX&?8TNZdU{+x4cyPM>|qV4c$U^h)OU-&p4Q%NDu2Aff5>@u(nhgUQ@YLk zkFBT=E-yjs$CmHC4B+=g<~rn6&1hfP%W?Z-?7tjO*uJfIW$+&Mw%i4hb^U?&Fr^C; z`Uw4z20qfjM;iD@1Mf@&xrw{{di$NlvMd3-xZ8Cr(%A1E^fB+oxCh@ot=@;- zhJDPtLTut^-Pm_#c(vD9#%H$s$(iS-lLRxUPjbe(d*Oq%A5HGWP zc@r7hEupNgLD}-bi~XD0F?qI6$V#nu^lf}VxqXb&WXVp zVG$$YEocpvB<0ja!D0$vd~jJvaZwvOVAs1m6iV@zp(w0LMUGM9TEj3nolN9k#UHRX zgf(Uyd_B!+8+ykX2pCGm2D`_^FwvJ_C1X|2aAJT&6T}F?tpFi6e0)kR*?KQ?gGP{T zpW+CZ@hY}W2S+1QZ$pY+hf#L#S7K9({eqWGTd)loQE7017e5;=nR=(A4LIWsyhBX5 zym+I6rN)|YGV9D@M~vc(=WE4zlOvRuS(UR=2!*65ZBB9_SO^&lC4TVNiw@{$FI5M` zGDEAZWY}nI^=5~Nz_fzG3)#`fm%Xa!lIb1q?@l=|dPdzK3ZPbm#N8O;(s6XklxcO? zISf!U4x%>3feZQLQ)k(*Y~Za&;nQY%pTC?kF3=sP=A+e9!pAZ$a}~PDojzwAA@!XD zVfMIIs0~NQmu#S7S)a#jvGg7#?SrRrRW909C=q?sRLbP12G< z@-S}^Azia@4E>B3%TuyIT_IGP*x`Im7SKzm9ci27`r=>O8jfH{Q%A9znsc){8Hs`T zW`vD(o>SbNIccq8h}GbTXi=f(%qbt794LVesOEc*4Gk8tjS9FLoTMleQ7Bx4(+8el z%BKWv;Ycmug_ux{p)AaiX`ng9rW5V!R zjya7ZyqDf`#(3?aN#K?6-j7I+qv}bCRQYjGhR(T|SW-T+9C$zgSENTFK~(He-85-i zoAATG1|sG_2&p~gBW>2{E%=j}88~>wmo{VGbZn1PX?>!Y8hEK;WH1&)eDWt^NX#*x zmNL9%nsg^u>oHDjQH1u0C{vfGP9j(n!OpPT@G-J9Y3J57^I^d!>q48R7E%WgA#G8B zbM>UPwrLC>@#@ph4WAR_R1sp4KuKQ=)i9%@4W5 zC93KH;Q4zQT?toZW($uZd*A@GQ^&~@`YJaMIInVVL>!LCDhM_3R?$=@vmB@H#`6*U zrmWl$T*?XPs#vI5^?(~n%W?m6PDks3Vj0pFp<_@UNdjdWsE&`tfmk#M%l8}97_&MT zNew*c7-sQ1g@|9dq}Uu7`2#8Tfu00anQ+>`ZJe*mf06z2>I-2#Ul(#6BD~=cV+`@U z9KR4IKKZ*CzPtAe*L`_fPQy2}c?CN-gYgwk69@-6e}#9;zaH_A+DC2wzOOM~{i-p4 z>lcjqFaDu1U%4MSUV7{SR2?qR zOR&^us=GIik>GP{3Ho^c?%&-$-vkNz1cKe(Zl&!ls7goaj4A971Ag+K^x9MEtv;T; zp|e{p+NBKm^!W17y!P7d7jL}w+H3Kh>ljWt(t9O;>lffS{L1nZpZLsYUV5p|$^C=F z<-u}&7Qp@c2M5{1TN^1D^OOIi*IqBdCS4NfTywpRe`s#pkOVhwL;oQ&v{DuUaO~00aSLqKkb1S?x9(Z)Rz>m{@^u6zaHN&5N^2tKq?+#xK3#Xd% zJ?~#^v;Qf3;>O4PZyCKoK|T2%^XHzpQGPel>5JcAPvH0sIBqE9oxfym5cdnFXbYtg z&rc62sD{08=hFeN6uTPt#@~-xKjhasD^UWGb_F#i2(jPpK&R%)*6|`u~ji(-k6W;&n8xQ`e{FlRJ5NPKFrmY*n& z>zG^*^#GMv`o)t2ryUtfa=8*acJPcl>NrJP12=}ABO<-YiOYAP^n6~?6&vTjVd)!4 zD(ERA^?-zD7u3a@!ebJP;1T*_QJnEo#>Ql%2-)CRI7R^DK$G%Kt`WgF;S;E4OcyWY zU(+EB@(5E>B>Hf8{1HmhiYN3w_=fO_Elffz33=)uj&-EP3K{xEB!p#XWg9eHrlo5X zODKg@M_0Qh55BS}`7CT%j>>}iDLmf!043o;fCm4-5%Av8Q26BNSP?wi$^g} zFc}RaTvUS%*w_Kn2n>;xb<<=`IWI@i&)2PnIgN>y$C>J=%k!yi#{3NZ{y*~GKh~1u zy6>z0wR`V)$ak->0{MqbRvUwO;9$e2*b*4xiBr8y5GBacOF#nyhUuLJjgcJ?+UO4l zPOK$s`}Py=ixTKQ=LZzPhWf=Iz>EpeAT~fuaF=~Oq4S3YOcDeU5CirALPCKIpn+Dq zmUo}`mA}J zyaw2dVOLODXi_ug!x<4Tpf_jl)mB*$C=S(xsF3ws`2ZF@rL_@Ot*UY>UU$k1$c;HD zGUSmhBBM&Ga22A^X575zGf;I~ceR??W~LWCZxMOnJ5-)-%?ITpszuEB=KZ3j!s?`H z!i1cV0P#|tEQ$ekg9{LJuCG^W6#R#pCPyhzswcC$U%Mk>(d)uVS$6fTS|FzC5XkE+ zIXra}$e$$XT=Wr}lJusuP>N%+*b|r-^7sj3&0}q|WfB|#iO{aZ!127WKeTTkHTafW zdq4G2M!hV1N_o4;XB#w17qW_2rkiK3S4QyV*nTscjg3M$wYs%d0fsglmWy`LpPt~DC*$mbG^zx3?W0?cbjrEpKTUha0{N|U(N&i{-WkP(Wueeczg)c7#8_z za8*1k`nj5Jl&X$KjPit&|2Z`4nGLqFaBYMnt|F}h+r^paWK#f?6}sCTovk{_39boP z$0`O|0!^{-vqKlm8Rw%WSBT2xUB9SdqA#sa3fr7rG(KJmWbbsD_U6yLI6 z#A;bAi!BY(zBT4@M3By>j}fAsl8`$tBdUL0RI%JpUar;atxBxi7=+Ov$Y)N4f|UlW{V4L2C|b_!!a%eB!91nd_cKJzRm zA2?dtW^iF0ieZhn&jyE9U+UfNP}g2ONCo~wfQxIh!I!>on-y(-f;kB?k~{iJPxoWp z&S~wSS*+Wf=E6$_*R+T=LojJ zESgYx(xDm(x|e`@jdANdZ+$oLn8Tu&Z_!*M`Eey$I!h!Bo`IkksW@!Pp2~~Dbsz;W z$jQ`U^xHYD2bj;-dCeEWn!&5$5ITsY>S^iRX;k~L0JzyChdhGWlDC&G=T+1CSrx0W zWnAC5<2>duSRB3YP@?2C%;koc5$dBM)NoPHRt!GdhTa)i_{vUBSZB$ylA;%dnjn=x z4y`H%#)v$C&$v3S3z$PEP`wgD5z#iJ%?HG_#B?jePbak1@Qh9)xQoK6q_2h_{?kP9v`H!@3wT#4~<6A(_T>quT ztJfZWfLJr}KM$ZaLnT`}d{RgbPR~w3P5?6L8_s><3-7-B+G}^tzUjVs_QE@Fyzv=8 zWUsl`&hGFf@n_yZ-2fgO@OyCQ&TIW^Z@qE7Y2PnF!I!rt36!iPFzq0TFx(x)XM}_^ z&tmcIZ@>1MyV<)Q37&aofB(h}1tbX^5{#ceLOo;leHFj}pEL<>D8^+8lD;Ad_{cZ9vv}=- zB-r0SJs2E;7J53`4hi^#cQHwz&!HV0NUI$4@yjk73SMLI5;iye>Zzx*@8$np|Kl(H zsq8ni9~;n&v#ZjK(U3>o`Li@}=&y|51rue}xUWxIb+7Mr(=BAXk4VZFZa8G>1Adcj z^pA8}t+4l4e({T6yw2P11aG{d7h8VvnFsHjzIS%-9{TN_cXso8^X8x5(TY#c4o>fU z>&~}MPhWhYeWRtV@$E6{`69;6n@Pi*G)-E)YK5{G+S4~wA0`21cKr{Kfzp=*RLC>qshv)}#ft^}9eXJ_y9cRq9HGr~?f^p$rm^jx*l zNuy1+HA`c1{da!&JEVsM4<5X7K*5d@Ja}+=sxs*N{){iw?Jxe!;?G=8b=OzD=-g)x zK4W>leqAdWe0J?ekian@GHoQ5lqLBBpz!okFTqqAd_pV~R^wNdtz1&4C zOe1ds)U(PHlS{1@o;E*c(C*~*N4=ND-APmvD1Cu9lN&pro3NJyI>||A$?!0b$iY;O z$(%u*EHl%M+Y&Z^n!zUmr6C?AlVt;OdkBWf-?@lW*W=+LnTaN0lF5{Z_+CMLwkgUs zh!j}FB`RiKDo+FXyB+r|1TdZpJaTKIGl3Q|E$3tgnhfT4O#^+ZHG_ptwq$-f+xa2d<xe!d;lh4__W#i)HJ+~>C{@f!+P&ugIE;3^x!Puff5`C794Xr6V2~@~w4#8Uw^wgaUCQGJj7AX#;EHatrY;gir?F z%Z`RxQUz3Io(Hv?HLM+LDHNgjprFnwVM}trPJ2lh>;76a=ny=k3RM}%=Vvo72b*o7 z?uaLkyo+kUma;BUI(TYl3}zHA?;4}5vYNg+JDhbiDN`rON6|MS6|hAi3kZ?wbxkXw zWzdxPfTvmvgt9CNXnGKXvH?)K0OjCiiw9Y9S)^|1(yWxlqgfW$)vRexj`)hjb{#Zy z?m)o3JBpEzEpqLU(9f&h(GD0BchHkF)dykZeXN|G#kW@BzC}mLd~oeyN2Rz@iWakG z_RChx%Uu?RV!%ejg&zA@We1qXCL0ww!ydRqzcRzL~48A00r>3?o zpL@pNdAMu$J?DI`W?#w14sc?IJ(dO1c8ed4fQ4f)W( zz&Q8L1i@N3~9HL zfaV6C%5WK%%AG$z>kC4aDcl0_8;3@A<`ajo!vVZhFF0mfz8LMd_ARoi&)wl#x_0_9 z7~Hq3a-|_~3r;YYd12dvGx;w^ypjdNMT|#sK;kW5v=Zsoqbd>|eMy~DTE3%D`SKSH zlH%NM;)+5|UkYQ0P>T>mGcnXK@$eT+-vN6Phv*g*swCi3YuMfuE7mmCWjV*7?|kV~ zL#X?);Y(rLZ9^m4;-`99FljA4{IVqgEl{Syp)3g5<*VFy^U&7g6{Ee6XZ|jMo`N{hurKy*4>q}vu_)4@HK8avFowr zcE;Wo5gB3_DG5`EI4tQzY4)Y~0Npv0E#S04!ghE{;>wpW380iDhW~>ty0OYn$-{t2BJZgAGVMo6V+?HmH|=_C_>|(sgq)2ewJiNuE??)6&U5G4QGhbY#$d>txHrWh?oM;r4mvJcoN?fXejc}*jJ3($o)AI&g799 z`Yd)^S7nYJfLKOL1X5!n9=;dPp36BXFcI3gV>yMLXf-kl(?=dZFR5t3F0 z@Fb9u3=jcPB#p}I0&1MplXJarjVpWBM26VKScoJw(Y`hmO9?7%95e$Rwu5A#1^N7#j~wT-R_`2N^qV!f+_}Jl1m;lZ8#Z|8R;Zck zT>KHs4J22!G)#j4Cl3AEUZc7JeRahpXsop#NA2tpP=(wFHd7;WI=oJ{i>!SVY9wGF zG^~su0zy1U(9yHK0}4?{0sjKFq*Alj3!#gaXF0k2qK=CqXWarp1Ebaqf+o5OiRM)Z zI9v>H#Xdw6Vy}+!TWrOjqK|N(%1gf}6tc-zJ*y`=1M6Ifo29l8*@BA1R^rPNe}8yh zjlJvHHAQ)%c`S3C%b`*-RoBK-?v$+4H*ImuKn$+pVD)Tq0{U1zT79C$WV7&yjQ^KYBkQ5uL9(@rR64^2IoaIJ)(ri&RG39I1s7qTj+3cRo{NX=5?x z#)50MR@G5!)*Oh|>5fyx7>GzLat)MnQ9BJLYHU%}E^rEh@&{k7EAH9UC`D*=TBjw0 z&8l8&VanKe1aJ*|g<4T)+`?CJxrl6_3vV%@0|LqDQjrLPf&o>4fP%1U*km3b^*^Rq>d|M2>dkS99rL(v{Ym}zDC`9;VkN6MpJ1_c2z{_X1*b2CSx4*La zxP1D$nC!PVZ_}=BAFz%0^1&_bgegoRw}IEM{j-1e;KBF5zl&$zV%+&ij8BKNyP|&_ zyzFjW3YsK%aQ49PXLd{#30#su$RvUG6JNh}>(+w@*RCZN2|o-|f|CTJK#AyIkog}1 zR(wkT^|RMy?cI3!t5^3<&u%}sokRt9_1;%>LiXO()3@K|eCNUKgFQCEKL2?d^e=tx zs}_qrS?!K0`X5G z{-rB>)koY3&HiV!OE~&_?D_XH25Qde?(QB=yNe8s&V5=!vhTR}z1@9Bm-ZKb{MMh^ ztNsJ_BgcKm%8mYz_8l#Qlkvvc8_z#~cJS@*e*3!<>Z`A?+jjQt^V+b2#;2`Z_Oerx zG~&~%Z@u+9zjOVEZ&jzKDFm`H-oyKi$*;4vQ#zyl%-J)~KY!OPethxcQ^<=q_uT&e zx4%94cO-Ck9&nKR98RxRRg!>`eQ=YIA6ISA$vKW2H*d4<`J^SrUvDW`(VLIO9bEfZ`XSqI2{)Ez^> zQl`s-;%@K_=bZ2`0}*TpBeLtvOt%t4ff>MSmb@6l#YZ4z(|eCOVGQl%=bi}9X;Iw4 z1?tQjK{CR*JD$66lqd|P7p55Rg>h9fRRg??*vrH=bFwF&A-VNwDz*ddjypNChcMh` z834QlWoyaJH#2fEvw!PKwjxR>%IE-Q*vwy~7)mvn1uwcJoM9+a7Ve#tbE-*{Gii1Vn`kEw#+0`joR_#(}EH)V_3`N}p0Fyf}M)kSoa( zF7UyR`^-!Tqc}qi$q}XM9g4GC*XsozY^I(%Le|SoV=Oc=#?J}O4AwoSZP1i9U2|8rLvi?q#^wBHT6_lkib%BmH9TXv_N9-W_T3_7S053!v4T*Mc8+Mo>zTO8m4y~l zxz>-V9H6quu#4DMghbP+TvN6x1auUDy~_b2=}>`R+;|8ngR;kJw53-@e3g|pVVj1| zrHm3GC5SsguB6UEG?ZfLoTp4WY@iI}o)Ti$7vId28)*k@+Tjm`QmfU%d%y6rSRO75 zST0w~u=K?sU1qs1hsaqx=VB;A-LoR6c5rm;sSFN9yDoT1R-sZjLG3D48zQAO z7O2l*qh8=x_Cq>YQU~2I;HiV-ZCtj4p1!FyCjR<`zauY zAbqJsy~?*7D4YkD)TjcuHX%p!6`j9#ecxwOd`qmwt1Z5+%m?y8{*V=KH|hrG#5flH zBG0I?c5p39C)#^nZicoeC~yHJh^j|)IULoEj4p(ReK7Q+2-yO>=Thzk=vP({IoXYo zleR)e%VtFQ#s?x9kViBzwS5dIInRqf#gKfH*>?JO7xapBBOqa-^tsy+`#JtgE034xMq zxA*}xXwz>aD=&`!EZwE4g5;;FROH?zh*Sf07Dd`Ks^W~53{b4zfkvCR!dLStS?7z? zq0p+5^}4pAglRJ}TquN54r-#H=n2pm=rL&vF<>Db;s|JV zQT8&nvPis_RSW?K<<$61A1tVzc)9>V#?`CpN*uCjPSmt2Dh0|G&;tC1PHoWR&jO-& zygB*7A_ldB?Lio{GCSw)J9kTZ`2DAaNcI(6$$!~a9Pqc)C9p@hZ+6nwueeETpX>`t z#n6bAV%mDZbMD{x;S2wR;_D=!F5@*C=y;=lIwh;wwoa{1^YvZo{;fCO;DNbs3HOC} zzwoZk>VD@t-~F!9!bd!$-%ozgX-@szm;T02%gu0jrc3T~xV!&ma!)6P^~9nixJ;I4 z`nNcn%Oi90Exx_@w$AGEB*AJ*G3iMJPEz2M1YdaRAMGp7*(X2wNogl?zw(Q({G#FZ zSQ)r`a98Q$bietV>C#D3g*{&;b+e^QkJ|X|Y4QDiJ--T=(0US59_oI|guEm0{Nz~1qByT( z3)R_MId*j8hdAug>q*R!DXQk(MEU=#1i$rLH;VlmW4%5Yzc6kROq%ah7Uy!B|eS1&Z#^T+$)JxQm01 z{F<~<^2S0-ge;pc0?weNdN-_mm{H{Dcaar!V)lelV0DXq0WEq0Er#DTWza;;m`Al5 z?GYo<(PF86Vz=b9Yn-{hr$C6H-5VNU?*yP_HQIJ|ISpui7;|QH1$+<{Mkw-aW8{G<$gl9Tx8k2XR(3(qPt?I+#B;ORX zx);9*Hb{&nhZ00iA-J)2u| z{E;0%aH-mBqa`0sWx=DJENHmQXAP@Dv#dt)LZ|I04}oOWCDYnm03 z#l8`1q+L0R5Aa9>+A~GfK*mp_%WRnIkwb9QqWN%Af1DHG1Fkw+pP&gOo$?&iMBD7TD>Fo}~d^$iA*RPbZ|yHp*-SI-F0 z_|n5>mIt1E)$_1UFB8iN03+gRVlYH}9-e|!dE2a__E`(bXa|jRG30>}Zx(}S%z%$d zMw+mA0pbmaH&Jw{8l0{Pq9}=>Dj71ct;3bI*^#M7fs^knYoHai#@4ysWrT0CIR`4x zxOmQ(aHJ&OlVHe{0L@o9>v*(ILoPjD95M!#qvkje9#MyM*tTf$!x~>irLG$=m2VX8 zoR6NT%8O=M(11Pp+SWz0ju!U8M#&-zDfoG;tJtiXnGd939DL&=Yr$JQA(A@VnA>K1 zvXL}y%OyeLM$2`9{BBtoT5h+j*KtsQ5UM8TADnm!MP;L*#~8M5UihPJy;fI(C#@&a zj6+RfaNd%}omJJa^(;lBHE%tImot#Ip7?dxXhdc#*wR$dUAqO!XGW6{crzbodD>VDewo6DLz?=N zK^*i)gcEi44JmDnL$)2@5M6WBo)=BitFf?_vPBZ_8p8WkJ0~jj#S~*n z_?lHX!e=X5%##Jkw;aV?=W8v69UX2!3 z0{5iwCyVe{4o72`X6S(n9bg1*va=&_tWFDasE+G!uU#4JX6^pD`Dp~|G5u)bB!-uTsUcUrJod+~Bw`9!dbk*{&YFo~FyDkVk2mwe zD5FFzJEfy}gAxXU4848u!$R{AVaz-jU6%l{PHg16gvH~z$`4GAQ=E*H2^hEKPC3)L zno7er_tY_5me+XTNKiA|1Rgh8B&ISt#m8YgAlNp>D`bL@9B>d1ZGrIE8MS!Ky^4y( zC6VkFL7`=}R$84`qPkRNOx&aWT2l38UU4fcOYy;gR%D}TPU1y#U>FbcY}nV4gSyA& zb*i{(wlhl7m+ls@nNra%>=fvsH(HF$AI_L#1P(u{QX_}4`oOWaT(^y=1X3b4CBX-f z01c5>kMw8+o6rZBTdQa+lsnd#XLd`NIQ8UyAO!M8^GjuFQOn=r=o&V6mWxfGWw-M_ z63G0oocp}>J#l+43^HLVX0~ACAmSJS6$Y0sRW3ZRnX$@Cz9&FppmFtJxfv`E96e-N z_K2xQMjx4Oibl!=n10OLOcf0_iIX;QXKSB1Kl2t+nkgES%^Z|XPrj7rG9pdCz_qB` z?ufG2NZ`>3+6Mb*P*Z2;f+W!wHuxyHd~iTf29?lI>G)q;4S|$&MIW^#poeBih)Z;| zlw4zvi4N`pLcr}gHR>YS^o$1#L+cfOVUB0gk({snNkHcg9GhgG!7)>)oMM23J8c7} z0ouKfG%P0jIfyoHNIG{kfV|8}hdk5IXlD}3^KVqffaegPZ@HNcL8V9mxfyjjK$QB% z#zW3@Pct5-pb-xfYw6-FEF80UZk=(h9#is2sfPzNS(JGJ-h2ayL5H{5{S~6{7`W;l zo^-;_#eo_Z7KV|WM9uIwD^B}@APE@zojRqy)dt35$n1=FMx~Wx%-4jeZE1oFco`#9 z*>T@ECQ(g2&)K0F0u6dtrBF>VCzvsFapEXL*Lh%o?+BoaA$VF;n-(%!0<_)p0kH9f z^A!5=YP0E4CL_6vQJHki>|_-|MiCb4Q9*3*)4WcES6TFwSpurgokXJXlQD7_d&fxh zkj34qVX=h$`I8fFMyq2VRg|@$vxV>?>GT*=D@F2}rOxTn>C z&M78J3mU^O-2d^LU*dk}+{-V&{HX&@;mQ5_v#&oZ`;613vL6jvuz%E|y^ajN_Y3d+ zf@0~I=c8j^VEhsrTzovHK_BiG#`(JJt-ul#E zmV~FD{my59b?;aAzW@EJ_rm9X>8rwh^u3SnoJ(}>%P)WVW#QfDn2=BbX*WrTTQ7h4 z%d!PJQTyCi(tgqTo=FNiH+OcH-2eHv{)@k-fYZ;Oe)hrdKluG?*DfTO{lV-H&LiQt z^`%>1GXLjjevg%a6Seo;!K-#o7pg}!6+63o&iN%8AH9fOzhY?Q@*KitAiu?K-%q%n z5bfFZIx$;i*v*xX=tM1VwH?pD>P+qT6mq^l=&cP152a$7J*5a8vvhX*MmRXT$sXl9 z@0{)Zg}?Bb8=tvB>)D(3;*x!4JH~s@zH#>HPyfu%Jp1g~OnV_2?vL9Zm+2#ptV+?epT)I=odZ)VsT^1PvVL5y(fNx7`%g=Fi)fs zw|tTC=+dk{D#R`<2p49(-`C`A~A z->F0+%?zuM1kka}yAI}qmn0x1_BuL5QRQK2ixhF87S$i#7 z>jEM_gDhDx8H|vU@D9btDkuxg@_aA`)SRH^ikX|AFdLeku=$9eS=&a-@>SAL``N76 zh;{^C+7DG(bj&JES&j-&Ln0wLatW-=$k)Pua27w}x1tqZj@moUhoZw}OtJLQi2+xz zI7DOO!Xy;Q=OqmDzpZjp3<9cb2=OuWju02M4#0%mRx1{iyN51__#C3S zFuh!&$YER#Rw<2|py#)wzEH8s1--KNAOVT4+LnHz-bCyy$5fh|yk_l}yi-j~iMd=x z5ErvK`{5H<0rceB&)o7D1ZB}+b@b4n*=SXjQJS>Mgd7P-IGAHH0uRxGjwTVvUK}QB zIcWofY%jxvjiw&Km%U4IQ{*0T$vc~e2p;Ce5P8dU@B7(N={b5=cOLrXpv^@}1Zvv{ z?wljnn&&#u_%0x|FbnS$2%R=}sb!ZWu9caA2q6Z{tn%e%Ipm@Vab8tTh>VyGHNqS? z1m(Py{7lbho(Lec0-|OWRFtJ!I+J>K2z#ndB5Br+X2yY7RRSBC<)J$~)~b+xspX3d z@c#VCXOD?rVW%PC@dPp!0*8jF&`M{`ppHqb64=?py4;mk{s41 zO$a!_;2gwRUhxw|u9ah5E=CQxzRGKEEe-8JRnR!dt9i44F5-mBO&P>7fhsf-L^Ytc z=8YbZ@Z_oph{XG5m%$209%`#usk;l?wo(FwaNXLCdo@zp*5J$Wg zS@y#~DH@91;7dUPe7uCocYQsH^sZFV=|$0*%QF=mhJozV7R_7AT%~Snh|9(l>tQUT z>Lt{}boa;5Q5!$kwehzK!fr;}C?~vmE*XR*814I0=!75r6P<BN5=O}D=C$4uiLHQ{Rad@JW%-7R$MpV(z^ z?tY20x#INFm5+*pyLf&z`A5QYU3v_k;`7kx&GwDEe6Qu~%HF*jl=#K@*F7m|J217# z9n?e4Y31VYe)sQw*Mg?=rw`HXdRa3dQ4A9)ZU#Bz=q&8xgT1iP7++X(to4>#vfjS zho#o4z{9wI+59%vb7v@H8SRD`JwLe&Q?4Vrc zLe=IH~=K>)FxjYKxqEo`oE>rJuMc;a-i2}a>&6aD!?Q2}} z#wYNZU0K9Ezz4`&%{4-Ut3LN}&z(T`KYpc6frQMtYKyAqisAzXkbKD{Q{kF*^H7ew z=ELR1!Fd_rYK+Kr!gUT%Jqpxx$L3qYz_N@vLgyEr=@XZJxktHJ^a@QFQTajf9CM<8 z*|frG1qR(A@R}icNARN^M%&H6DD7G`7`PX2eAC5HDNU6BZr0a*$j?IjnDE{R=oO&BIOK2W9()goiC|iWGTH* zmI#?AUDibG42mtmflNF$!t!%t377(H4a%m36}k7R&{|SB$@>OP)D0YbY%Gq``Bq$| z$6ygf4^tzLJzxsPU^Cj@NnvIi++_}d;)uqI-Fl!jkK$(UqFnH@<9_oe=B@LfIQw=yJF4+P|{e5tVROi6@ z1;R`)3*LvMZ5G*Lz2u?Vo+mGtxmRJ65Q)K5XBX(#S#;&v%8=pDLKKQyPrI5wFY>Ku z6KL@wIKJ#jrjRegG$*0TC!J>CO;il)*#Kglrz{Z4>IuV#PIm)SC0kBm0Ssm;AXm@t zIWPgFuvD@6uwhe}_b5dIqKlzn+}AsW@B~njniV|90oPbX3KJTgQwZc!MSjeMJ7Qr5 z3|$I=5$Lv6LRgW>7Jzr0#FWbjh#8Az(@<|DOKNZ>gLEEf8VCcVh?1+3d9K0n zPTGjWl4BW-Wq`cxG7*qT|v-GAQe0 zHEZz>6lZXGwixiJn%cbJE*VO^_=Fw52Ar|b>3QE3s9r&;R{*!RYjx5F@LWM__GL#^ z*bvo|-KiajifD)h(TNL#ZplMg`oL$EJbi!$K!R=A<}K}jBmxaW@yA5NLN7)e459Q% z2m`;#E3t^7T&)+2^#;6)`m?`Xoba46#mO(Ys%I%}B~k@fAhF%n<(RI5s)+bP)Z-KY z^|FjmSTOoIj)M@l?{ordLQVkucJv3z$?@GLyt)!( za8)f1F884k0r4!QL`2EA>PjN=Y|qGHm7c18pj`x<#-X>i2471V+mabr@m;4w6z=Ji z=zZQ+^%PEQ29IQ4Nwx_u{OGp`@+ZJ`ORfonZSUE=FQnii1w1g5|CT#n7jS%G<`mTX z;OxzNclS|V^z{@0Us$<15wQb&>*s&|Fa0I?75aD;;*2*Tz4zWP*k1e;+hRTV>7RaZ zJF({3(sRzcGza@v*;{;Yj@s2rU%qi`|7`!Bg?+FD+6Vo$Ut_udA=q;!!9&g{t0{Os@j8gI4I4*TP!H6a31`>Iz zA$G*)3?BWY-B0TYiOMX};^JXa$w+>}Dj9h}nT$#K(P3_ep^HL*K|jFC6 z9Iu`SR0#LIbO#=kQBf*XnUWh*)k%m@-fX$OQ^hm&m&augWgfjq67p1OhNW9|0<^8l zX7hQ;M7pvE)(BZ=3}zXekfS!WkjHkiSZbF7R9Q^%WiO1*n1Ln}AvZH7i-QHldIBl1%>tj=iuBEi5r_775w(B}^|?WuxTuHwc(|febQt@Ix5y`j+f4_z}rqT?gXy&b3K$(3(Vw5d0yvE*auVC|`DA9zntZhXMR%F~Xh z8mN+(0}Q&Df%C)@umn&KwY3KGn?dy`UPdgJ5B5wzr+~RwcZw;!PE2^saW_BW`@}lF zddx$Lc@$(uo6b>93h$|Iz83^oM_7}ITE!~v2!K8x z=z6)YG1(IAX?*I2e%{dn@_JJ$Ztr_mT4tqE*BVJ0Wyf-*>yiDsD@!GAd}S*$5sa?j zg-PJaNar_g(?zD~)F|>mA#y|r7`n6#M_dZS%QNIz5y;@u(l8W01<2@Sc)rXcJJaR! zhHRY3e+hWCRwe>K1JfX7Z^ld42a+4g!2%vKX0B3;$nr7X7`)-J(6HW!Tg$Z>7BuQK zgH`1&{qd%0C@SEh_v2||?WF_*IaQ(@whe~szXw$L)Jr@+=7#CGA1QH3Nab7gF zXMrv6BYKq>i7A&S@#UQ3Dk+hu7zy-rCM`GM3oeQh3O6xC(ms+z}-u5M#+rF zFPE7F=!kFunQP1wU=DRo7cYxV2>q-bir#{VtyZGt8e-;dHAu(gt^O^t2qCgTOJSjc zB;#C_%LY!$^N|0LyGST6n(z&aQ*&0* za;yYlw5=gF=u5RLB|OPmND`8Im%mxePG~3VSn{lGG=fZ2$3EjR+DAaHVD%tpbiMNx z@64yJ*2lv%8?g{5=w0-LoE12$7cd*H5okZhJW%Gc6Q~v$E7RrS_&K@z+FO6snJ!&J zj^DKODXRQ}9s9W*e{(71Vai)0<&Hg`#s680XPhk3?kQ?RJjVFWcdE1UH-7Ft?Xv&F z)w|z)KiR>ZgF9Cb?%8bl&iGyvB ztN+oB&wTZ(-XFZkXG1T+D|@d9sOLTze(LF}{FMKx{rAfE%7YiKJ|sc2*Ibg|YI^aOv3=pj3;WeUHA>JlAN$x{ z9zVZ#b<&j&U;6j$P0o{llI46aemZ?V?ywU+>duPsy`A&v=Q{Mm=hk2PXxf{1SN<)! z=hq(AozMQYtcE+VM-vM^H?7DeN_szXC zwCsoAPX2YyHC+GKA40q5uRd?91iSe3&E&^RaQ!+j8GD4*op|t;?wjtx`z1I#+xytT z*=Oxs`fh4B_FsJQg`4l3_o0ih3k~)HckV)aKHGaMjfLmPy~8<=C&ToN?&WC8 zr5$30)lrMp9WH!Dz|_@YvQIaw!E+Nd`V_w=v@FZW-70pG@Q9Wj2WSS3-Z0^kne5(N zggy)~0Hv!84W>_b#Z0%LbeF_JblXyKC6rsc0+i4*Lok)E%$*A*w`!x_HMBpQm>E{u zh*y3zpXWAYNqd4K(-ki9L%k~vQ?4Vv5xDi^A{~L}iu^p+E@)OHNLnMoL1{2e!NoMv zb4Ay?INx+i3MjQ^!JWJlft<)Y=Z1>Zp2L_`sfX7=qsU0KKx~dv>n2GxHa=vHhtdH_ zK~`#_rykBBT1whn(i}l5=JcW?u|x#tJ&!b#Lr;zRuRS0WCjo$RY{ z=c4bq1kC)zj5iQvoosj)@3ua%0A}<>}r6G0CyMw-i3tk)DiAfx7aXA_Q&dM+ zxn?aa?0SkFJ`O%*CX|3?G|ak^0%oF@_4T2T<aK?UX6b)vRn^OV-G;rdh(}5j? zP!)L>aVc?`p{!L?10{jvX&*Z>Q6A_4Lu*n%ZIC*QV|uL2w7l6ja73VuYP@A#mMxmz z5VP3%0pUARZ%eHxbSTIpi#6}*s*96LY!N2~N7hY7y0RZ)A*r5(8qy)&U_;#rrJcTPe z57ejvnYp@6-!Uct%f%aw^Bu65FQtY6*f)h7VFPSb9|301&{E zhGY_eaEl-c-q@D2CIFdQ{sd8Oi2}f25pwD~3qEyb_}CQOsOaQ2Xj^tfPjAZdoc+uN z?_*YF2ejD<)TgW;wpaq`LmILm70K0(CkkM6nE_{pfH{W=*ffYg4>c@QJ zB@zj@e5a{rqjWQPT1(`8%uat4iYOWb>CtLnfbuK#xw8Q00`?K~*bygJB_o#naIhoH zqc4FWjMN3{^>K7ThO?q|XcKb{Hs-O44H4&&bH)lC0oQWLL20#+g;L9Db6!=dD+*6s zJcbFBa_sOQ*3KI@sPnd5EKgj8Uoir-r6P=?hpO&FNpyZaE0wP0?oe(-VGt_tQ5l0>=nm%GvrG`p-OwCY{i(({#eAg0pHW^6ZmT2qh7 zdxD8Du>6yUO|GveO^~SyGG)=K0=I4o8r=r0QhKrLxdK{RSTq9%&9w%%by-uo;&=<6 z%wzD%vyu!@;KAy#-@-C42VaDZ^GiKu&aai}T-*`Bm|+M_lrp#?`ruQy&4mVzxS|{` zj|l2nHQSJ>;~DRSqI0U^T-DPcn@aC`05DY}k?arLB0Mivq52}}aP={YCP8zwEXa~1 z@FOZCM`ng$`h>UU(3zW05stWC6}9atrx56rI-ug*N#7u_)nMgRxZ(v2oY9%_ zn#_Lio3LDG?p4!?pF+O-<9C{ju5s>vdB4tVLC{^=0e9}c;V1jK?*7w<=ah0N#<~6K zCI2m_?--r!{lQGTS`WVe|3pL!~}o_p0j=f3v( z$A{wJR1!RRpyzNel#DNb`C`|elx`0L65QS2(WEE&{zcf&K6~x;;`Z6?&wcf|uh>rE zlY}5+s)O%;zqqmY@~`9i?caXpnX_B&zoFUv=G(XCPrdx|SH7ZJ*k$y4@BQAnHg@~$ z6QB5#fAZkWf9n44KJ|%T|MhFHUwhr%KD`}&>AA1$eSYuryYU|B9ywpTR@`v6HV+)l zzimx`v)|-F|IIB)pk4Ld*!f5EkDmMgTS?&V`cL^!J@pCdaeBA(WKV>*+<$(k87JDh zourp8j(^(ccG?kVCmi_FhO_s7gU&K3*qBo2O6lF!&8|eD~dVe_%V`)Bf+>i}fRg(;uuX4-R(pM+NM_ zyXCtN)urB;e*Jyx3l~v%XZPN8X8+wE`~V5AUr&0zVqRV^`Y;mQyUMc~H}70prP)9G zJAdaQ#Yefca&h)6yN&R2i+ngvf9I`T;!DA{!gS}d1n%C~zkZ46eIAtoMfWRHzz3~l zh^BXM-Ap&`bo=qnr>I!$#LaxiD^$6S=ya@q_ZEdd6h$JXTrd-+-HNU1M8Mk>Z*#_igbYbC~@Vl;a0(cW{ z^SVpnvx$P9$qY*woI7(XyB#0z;A1+>W!kdSG#Xt31&7Ny=x1GQh4hjV_N8k!njQyK zLBhfMR{Q`>0I37#10KQ^|2%Eb#?LWH;264tRUo$ga7UtuITIubl2}>5pZ0sCI`Yeq zVuZw%M7{Xvnz1cCW7j6SSTc`uWv)0zhl(IEFF?f{&a0g5FTKs%LsCHBOmrRZRhC-` z$mHkc9yg~cv7sJ{Vbyxd$V1`L-q1sQ?GHtk@w_Vs_Ov0d`MV@^;ZKIx2FY z92a{1UX-@F zXT4FF=um5&bXJuZILZo)^{<*I2b4x*A)183EVM{8b3J(S$Ud=h+%7xnVjD@`k}GH1 zKoyE5bg;$6`uGq3wcc)=O7~084ZsczbF(ZL6lF-)ol+^psWm4ZL=R1BSg&1b}<-dP_5g$|Do z%yO;a4=Agl1Xm0Q#!m5!ChFB0wC=X_3yMc=5z+k2!$eU$R^8x0t6rc-_eAFuZ;?e> zGOf%z-Z?;FTJc-f){b_Sppez7mCGgF9f~Z4sp2-~u8EJL_Sw_5IJuerLaS4h1F(%I}x5V1GZJB4GH)4yH^@=X1J!NnaU4xLIas%eP8S0AH zvMHaDYFzRZI)hcdY~nJY4vTJ**v33XJqKapwnDwbvDFurtUwfvWfeQ|o(B&2qvTTf z336@#td#M3uYs{(ReQdwdlZ{t?T+W#GYyfY33qhDId;EtM=MWFL&?Ep;!mQ)#LGL|F#MW2tt+o2Hz@Kc3)R;3WUk2JFy0IZL;nXd=gpQI zpjx+PO%{Q#i^_B(hRgRmd$+IY(a7 zVsE^Qx#0sQA9C}Ue!&pxl1KJbljns5!2rlZC)uUB23L)IdphKOLO-8lD0 zB6Mtq3)8K;<hyLD+*8Ea~rQH#u!&*JNGL7&)s*P0Q?Gnb+qN@dL*DpKls+S z?qa6k$xc2#Y0AX^krCgA%>Uu5*S>k>g`SqZ|GvNvKIzzB;ft-AyHgNP#WS6UH$QJy}j#Ref7!}Nw7cc z-MCD-bB28ixaI7rbJw89KF{i;cYnzv4GvxUmt8g#)Wx)C&P`{?7)f3t%EPym2Y25*yZP+1Xw!S_ zJzpPyBw%?}-#TWS-D*cTX~d=bpHnp@qK8d1*u6czK=tAo>hxuuSt;ys5aZ1=?VVph z`+M#0-Eq%8yZEF@@a!=B@524hPGkoON}cL?wD7u+_`h01L&*~ zJUDyk5X%D&hG3)Id`i6YgfAOM+vfb-jM|R?#;VJ@mtSGHy80_pGUmpQ0_(v z+^z(+x<}dl6yT_yDH2mO2Cg+;&rL?N1^JRGS7rBFPq*+KPaOzltOc(7{USlKLd&O`sIs2l0KFgb-erV3Djpq!}NIH~D8}Ya!u0Tt%c3<8JJ6 zv7yG)y2P}65duQB;COfQ;Chb6KNJ?ryfiYqFQWyo?~?K+HXbCMV9-; ztX>3K8`@1E{p`HD2^Ymm5&J|f{o|sl*qQ01G4RGWpy3L3sVFCC_!N@bmDJFU*MdZk zE9f&b3u#Vk{A;kJ1knP0hrGccGx5KmNk zz7yshr9hfotQ(+i1}>x495b+A3v#W38Ra)?CK7*+!$aH)=#{1jOE@I3g_?e2Zp!tV&87%$YPvNW? z&_a-jlh|D#Vrp}+$jyVHR?8F;*V^~4t7s9lePtpOG0`jb+xh?sw_RA5!Lpeovw%)< zFMvH^I0YdzTnRGIz(6~YF02Ovyjs9evmnK1pjDskOu6qJ0{WI=rknT3xT$7U>zRGi z#AZ!^BIG?;nC0Dk18r}qFgyx;S+|Yq!_=jDOZ>J5Ck;CnUJb^>l-6qn06V+_R-Py_ z$sE=RlT4D_g?87&a9w&hsTKN*fQ1H-`oa%C4F#e#J?dn;QhjC||5c9N$ zrxcD*feFtqQozHvm?{r3ixbDZv8=+sa@+0%I|fD#?4ik#h*iz`d^_Lj(?Q#ng;$7Z z6yk6UhhoufSw<6wek%?>h0n+k9JZ^zox<0~!8_+NQow97hPamR?XXeFgU-39pxcZ9 z!V3cH%oVhVtzWrnm50)A2`74@=&^j}PB?-h4wX2B9S$@zrEthH-BKV zV67B}!Isds;9LP-&B?;c6)v|uE^F6|9#3CR0^r*QwQ%fJ@QDJ^iG9Ig3~xiwahb${ z@RmqX-(iY9u9~7)P^iszi*F(P6yB?g)rZAOd)b7;ypAb+;xItbz)_2nPLo zj@XE%y==Gi!kq91DOhVcT!o-ItG2~Jsxcml8I)1}*c|$K(^v7ZXlBbT*oZu|yRE{F zLvgg4FSbF=6W#xU0+(^{?eRPxQ)n&xSn*N{d^O7jmTyAV(sZ(Im=8mM@21+U!jKJt zwh+9dk!K@`b{wCUM}resE>tP$!D^I3GE%4!3Z}A@djE#4G2UDsgbY z&pxN`FX>6`$re`l$>zIF9}q6e_5&#{I6pdtl+M4Z=3o8mAC&Wd{2NaSckW3PPYS>F z59s&__9w@=PBeV!sau~o5C6$H66}UM_e2g)NWrV#5-DAq!Yjam2?M} z+*x!{ID?)v-RQ3vXkrapK*kYx*%HFz?r{2A2t+R7Mozq&!+?E?vMGCfN(XX97-T6; zZ}wygiBrF?)SqlwN{oWi@9SW10{)gurhXom!u6BhJIw}DVu8@yemwt3d{OoM&^V$Fgm2(il5%H! zElwl~9Z-hW@~x(<64qeOC$Vra$Wv*=zALwsnbId;o=K&PiK2J7Ls{-1303aBxq;G7Q_P8 zD=I`y#upwzJ>n@Z9U4%Hh_tNbtm;W$+ixx-NKFH?!4f|M8Ed$6@Dec1=iNGSZon-(WPql?)Tk&-%hSB6vs!I&*v zNzL>yWxErV`^0&tNSw6|+QM!JWLtwAK=Pm>vnCvj#=QHMhgHopCNfZG{i4r?9MROC zw$}GVEyxG9sn+B;JN7k&;QAFWHd1vpStI|l=|k_l22+qOM#aM5Uyja{i`2%CBKtTa zReIsVWmyvfc@V!7kZF;0n0YFZ2Mf$|95D+CV|ByATG7vqZ;Jz|n?HzKk&`LoKBzl%zWGcdjoE%XQII z#Owl9p?aVcV3y!$gI?BWUXkHeI7arSABJC9jf# zPsUCzUO{)rG5Vuoa7b#94ZPC1T%OD_-?nT-C81N8nHwJa?j>1~>9nu4ryLn9&xjOx zr@F7G2v|}Tk)n(O{LaN8QbM)!c5?vXT|8#-XgRDbTta1sgRA2S8)O!bY9N>3sp=7W zGz-t>-G*(Yw2>z&FdOd`nmlh$;mAUAm=K{0MJt8bsB0?ZhJaHCc zl z%}tb;+Y>$=u&%{Uw;DY--mcX-C*bu~HRD-94AzITS$WczV;Lusfe+U%7Hx*&n!}L6 z&xI_c*K3I4XJ3^ja_h$s2^)Cz;+<0R0{3OSYIHbQIXAiy52jH}_yUAH2M7F@;Qr`K z_*XAZ0SiAJAe5U;Hl5|A@;6RP%nr+!PngPUq8EX zS9pU5lVh|$efDYhPyUI{=xO&r=K>#pUQZH~NN{lQmD}#GoNXk*b7X!OY*%jI-P*x@ z?e*`U9t`J*hb2&YDb-1W-5i}q`y+6CPItAg{^cK))&BdwW93capU>C-Hg5{Mn&(vo zPx2w~j@<9mfN@)pvSchz6>KPVu zgHCJ9fAHzVs+u_k7!+Rci5rZ4-Z{WX2X|&btbk>9`##@aR#jK`dv9h|5<(a3ue&mT z`TPCym%sc|RhgApS!W(R!*q}BJ9O!ib9cS^u2)~W^hvN9j#yx2_M5VR_T78%!Ln>b z&A;F-ykn&v5*F}0_`;e{YckXa&pi0x@+Lc?5z8#N>#kQf_c@Dn@nv68Wrlr~`yQs4 zoZE51Wfy*dhTW{d9lX=Ii|(r`t*pK1Ud_Cu{FkH?`0%f4nJhA#oB5cu|aluGxq3&w--B|N5x>k z*JJMak|u)I>^3W8MPqC7^JNo=-QkDG&9hW{z$}x!RW94kp%N(~X*b9LGMK^mF9C z057<#>!+KCe!`^5M;BSUJFX%Z3&I75KpjBE5~Ub3WX_z6rN%)G=pv9Hy4av~4D_}X z%mj4fOesrRzci{sl7z%bQKYpGMR5es9m4CNa9pE`vIdsu8pQ&N6+7exPxKUOXdSVY zJvFhwm(ijA)rNMXbnq#-21YhGLYKFqP6FP%fl-@$)5%NB*5A06!W~a!15ldFsw%B7 ztwFO?Hg+Mb9;7+~bR?QC97yTi;UH$@o86RnLYk?A0~}hC8m+i72QcvXt?GoZ7Ezqc zrAQJ3n~A2s{iaqx+@Bft@YA3Pc{}is$wV%E&r^{2YGEQ5_VBz{Dy1=62a_J0zL+s( zxlVwhFG*7&#nYHwSY0eN5{x2u1**6P6hlt{q`?-&ffX^O0IG6WK|pkkuwn^QAq%3p zIF_R((6J2CSmbUysKsi_d>uT-vjNmG^c5-PPnsA~3t}>f3SXvpdZ33}RUA;hyn;#o{@a5=T2?P;T- z^*vj=O;a6c^{OypPg4ruTDoe$wBB|>j*_)HZ36T_=6np%JI}pGA??|Q5nM<^@DX$j zal6nUL>I+Drm??l7iWyDvKF;Im!~`9KwvV)>2oNB9M2-)H>QAgA*#BI=8ov%EFUhTun!sdS&RN4W#|{k=CaSBfVQ%<3S3_0J2W5#|V|XiF(Y;nm z^2L-dbDA)yGT*@59*^NJxO7Av{Ay52T?iKF*+~;3;3ONJE(YmIL=e(wkROi;J3X@8 z1QX2Teq6Mi0!&8>N|7uRIrbw@oi1;O7!=;tBPd$LmJelF3MFBL<(h98Vckok3;cAy zY9Q8+QL5P_%P%vaYe0pnqlVHIMZv%opVhW3p{8i}LtRQ3CgCjG3Q56~eVb`%RDyh+ zq{$~ty_i}rx`69sHlaF$wSvoefF>(b^6MIlGxL~y8=FtvW6+b_ zxEZZKR*&mX@_Bc+t6BIf7Zb_rLo}D+KS0_$@P#7a96OP{NM*`&hC{b6LwO{4SiixPEg06dgCJx zJ-mB`{H(th+LeCQZaV)lcv+tMl6&r&&sP_gBuISaUSK|}O4q{2jD=A44vpL%dB`jv z<`b9WwL$3l7-1&gU*v_f_$ZzP*y=wPW&B#xTli}F0>1ls*1j=;Hf}IaY_EWbYJb!d- zy1aIkmVIkTIqt~p-niKbfvSzJQ`SRG);Gu0lKRY8(3Hx0x~ouQ!N=>>zAMf;=G$O{ z6)gp1*ic2(nLOia+`!ZjkDIVW_bw#F`PyIiG=jb}=$qIoy(U&eN&WeT+VvZIlB920 zo8gx6xrU^!y{t;ti`7*lS<38KYce-K;HX3^Q1jO%nj&hX*0~M!4*cpEnWSuGqC_Mo zy8KTKnqG9$o<>Qx)-KTSw|}*W;bs-a1iELalGKuJ0t#|9{c%JWpkvvBv)t z^O;U!Eg|SMu#uEit;X6Yqe8^rZ11h8kgygyE-*7z zp|<>FffqTSg%SvL8jXJ>$(ShV7IlRC=@9A`lZB)tJ4sj5qE6z!NPn>eUMztZOW@=Z zsBc8!WQi9!pHn5kB|plb#y`op+wo6|cRRW@m{32Q#6Jldxs&*x7QN|FHU3G;ZpS|o zZ}Vx>5djf2^8ft~#}>>BBdS+(V$bGUq&BMpGpdNO~*cGXUW;pyNdqw=RLr2LP(R72KM;lKb7jjv4HhO*)^E z=G7=OKjl=D?W+enzpEXKwbWk>Y?J_f%Cz3$m{zbM`eN|2Q35d~(mWJz{LeQp;V3;6jIQ?=IH@ab56eC|e_~ht^opt^d}Toj@biA>9sL zANHjA*3B$-bZBjV_W@I5bfQaeY!aO)Lf2E-U=p+x!%;dEpQ^dlpjZ6Us|88CHn#9c zrU#8Vi%4$?ZOxlh#ML2ay5Os7mTX6!7cY;?pG9t+fGOUErWe=JPeKBWHh;BHc9L+U zux^t-RZ#k!q@<-M8-u04X+k4Zxzf-IR~gf9#G#jDOO^(t=Tze6_I^G{p*I|+b-fa9 z;Aj%Ihg?$uv5`C09~(7tzD9m?mdj5&SAeuupEu?+dt`Gsr=75vCp+OPkqFt7~AOaN4M=>eG3^uJUn3(W9^~OrqOk z9}X5YXCe1p&T)9kODZbf@~PEYn3f#dC|ykNqp_^B%iEr<4GR+W18 zAZTIVFiEmxyp`A9*j1;Uwc;)lIfSsHBrLDgQurX%g3amJ#+)#vxRQzunljyl@Ogh5 zcW*ozjyjh(z14C=)M9Nx?nOf#<_3b7tTxO`bhG)r_HlS zlU$&~eug2q3R?u4IK(j)dcrzdNRsS?(PK+(Hawqg@3UiH!`9iUrT;4a8GZ|#u>5-Z zoL4&GbQUM+slA<`TDQ%3nkds{rEreofaRe)N>K4%L!cI!c(UIbXI*dBp^z>GL^ zU#%Eu;T;Jg&0H^AMPN^I-r?vr_%@1mso-^Z4Ct~`7WhaR+c*sKYGLmu>vj1qsF)Ho zzCxJFwhx!#jkXUG8#l+IgV5G;O9zSk1D{&QS4*fNCSP99%?}n)FTx!9)X>GNTYnrn zSS!$nxwN{?L;tRgOy~>?-7w($>wxl0;0AdGZ0s9;5p6+d~ z$xcF*`-V#$akqT;TCirxg5y9IJn-0$?7CMiPjeNxq1}!*EjTXTXP5R@{p^qY(cbKj zEcx=;aVftWNc6Ny{nEqkcaD+UJ$?GprK9g-Pyvr~{=MsuK2Y|Dzg604%Ddug)zDaQ zf&uQ|{@Z`^Z!E>FGggb29^PG9&~D>KErK6iN&f{uf&t5SxyAx)WDo6i3tahKsSUe# zuCjK)^(%!gx_hgy(e<$g?LyFf^P-_UeF=>H3E0$$Z;PFFWy{Ft_c`r?{_~>nMX`&1 z*L}^}WwRCSB3o%c`ZK>eT)KPQWb;jfURQP#KmIG&zq2gdm4|Pf(OVb19Kjnew+7pf zo{b5TRNCpgjiL9+ZUM(zp!$&o_NBl(u)q>{+I`Pe?NxGSd0UIS2Ccp<*rlq8?7r*9 z&(<`*#yctCYYSMcagxY!^jla!`8P3iL-sDz!PV7VlmMpzVUld1G6Z>ALQ&%ytqV$G zs>iVUr9QlcbM2Smy%s&cFo?GhZ+MNLMD;#stbeZd%Z}esSak5?(MF=%;aEvV?x43k zC*UV(BpcidZRkmV8p;^`*mG2TzO-Tj^tR=PRO&e&n^G_hhlHtOYHNKR#1o(RqVy*b zEbaIp>ym}pNIgP8TSG>C@?~M$B~Xk;5+tnMms1{84y4OBNSoegqHoo*x${U!XTy)$ z%t}H@FtwXeK)XotgK@;DA$Q%LXG87yY)F{gKTE5PL^tPwIIaWf~m&XLkPKi{R@KM+f+hcau9%!>mLCLXFDT+=#gG3&?{u;lo zcaw;?kzY!SPzfP32@ucGKx`0vc3rkAQ>7Hq2(>X8uV3?hn%HPS%(i-LEeuF2Q!RM9 zugb`rJ;76kP)a{~Lh9*3k>262DDadCwKg`}!ss$08TtF943s8Q6y6ujr3FeZ=2Q;J zu9X9XQdB{dgOvG-6G)!WC>x2>!Uz^uq-m)y0?@vOrR5AE0t0KYgG^>5P}>H0&cR;j zCfQh!HtJ7ob5&w6`!a*w0C_*zEEjJpu%BQMX5eu(=F$l<(>b9>ITKkM2@skT^1EPs z5r!xwiB8TNgA{)SN?ZEig05teL`*tV+Rk~jY6z|UooHO3z8RPVUSG@2gAxj zsf<)ak;38M!?BQj1J&VSR7N*JuNbPRe9K@fK~n>P?>fpgU0xeG#03_q4%qO3hB(J# zLr@dij;R5rttr_llAjng_=Em}Cf8)PAtzt8ATWQ{_uQ>7vs*$8BBH0N{SZOMl)l4^ zaqRW@uEBua2M9TKAxNi2WooE~%-c5(VI@#T=cxc2((!)f%Y~Xno_T8>Rpxm*6SU8P zJkG?Vg{Lg+LQG&%?-{W2HKtaBbmj|B0DaovUH^evvhkfphV7{ zaJ`P+XuLtVd+6=$Znzf5yP~)LZwqwBiH{aK*1Pwdbyx10xEni_jZSv?5_kuGezJ9e zU^g}4Ct7gh+1+}dfsT^n(Aok_=vZKa$5@~Z&om5aeBnA|Mt5+aZ+tz;cb9Gtr%&@C zD)e1pt2S+EaH@|!HdbrGjfThFsVDjmJ!>B?KFNYcR=%D$+<)r+Q(fBecw>RMvPBl0 zw;ZN$8|!g4f-6gRWY2(K=$$)#ce97Uk5;Yx$iI}a@-fhV)2aLWcNZmN<@>mImP1K0 zcJBT8-noyon$U>mkMP|9ROn%&>*ws6QZSajLwMi&^i<$ZfOp*AaFX2f%U|a6Sm{e& zyI1)zT(_c6id56zclv#IXn}KYxFZYR^`?)!>V;VF$Rp?7le;&MYl_wSW#ZBCxpRZN zZ#~APJkPx8P49YFzppdk&fwH3TC<(FN95zC1-;(j?!mEbMsas{^))xM;7!jyt35zM zt1?}e+o1D?>V{H*1;VSR?&&*Uu5i5oFLiB8_+_7~IleB)-rUM!1zc%JzY>l5Iaf(& z1I8QS`iA2ozZVW;(oB$sUIl@X9X^q>IgCl}ggXhJB?+&xj*8&2mFYtkQx-bkUHL9MaNmkeYm{ArLvEET&FTGf{&a4NT~J z3`$Te@OF0xXGb%xlN6fKaBDQ`Ff~H zpj(Z>+BmclM$``c6Ot)8)cQ38oLnAN6lw99$Dx^OmTk~08A{PLlmX~8E$}U19?2jL)Cr`m0%M|4 zL4nEALi{z*EiMm9sAe!=sj(5~lFmB=vSiUm%6jTxE0@YaYR#(_+?$WG(Y9K@pN?Fd zx(q>k;)8TW7r#>b)~{2a0mQpgnDM^CDH7P=8@gNqaOf z5FEOgghXqgi#IekvPxkFwKl0`7~F$0m_VsAW-d}yoMn{Dx>Fc%{O zig91Hllc4NZ9Zr`WBz$KHU6L%`^Il1W=X?*Z`Ruzqrfx__gW2!KQG}|Z38*ZHs&{UyK$V4PnK{ zGE(So@UbzI1&-~|nMr1U``JkGxl==*s3wh{WuuJk+W6GeU|)PDZYlOj2EWxn3?}IN zi`h03+(}G>BqcH|Agm}Pye6?A@pV2Q zDWh>U8kBV!IN$9Og1;a6%vu{BmE$#j@MHLtWG&yLfCXI*^LbYS4P>ax=ZkV34VHqm z#X$0Gh<|y}Q!U2`ZEUFr2#L>`H9qL;dtWp%Xg%Zmiu^31t&HHScH^08ND^pZ-9#@K z{{W4{I3BF?Noso%7L^NVbXiUf@ujfsS(U;RU*&wm&*10u2*RFU@ojlw{iQH8OtP^w z9OR8eR#X`&(55H~m-*~qo$rduZksSyUj#e;J6^Zrep`^HCZ{)R`_*H5bI7;$L{-$h=)Kf zaW^0;?B%RMla1tKcsCuXBuQz-b!J?U{X$YgM5-C#- zI#_+`$E!0G|LTa@I$jI50Jt z^x-b)ErvyrPf3S(uAoa#molHI=D69q5k1*a2~I45lI41huyxG_BctxY@IEU%wM}ly zYLt(sisCIEw95>j_`-3TavDpiMU*2mfh>8oJLrYHR5MPXHE&X;W^Q?JIjPOccx48m zXmXaiqWGYPymQCRE7EO^E~*z=@>4G^0Wo7?SANqGTw&Ux_1H~B=S{QcxXu$Z*Gt+e zlU=k)BpKi$r2u1$Qa3K6i`4o|QT#SN}jttN*@*LZtKi@95Z6G}=In#NR94J5MF_)*n+$<@6R@kaLJb|8)2uf~P z`Kc&?1_L-YE>RddIjn@@`>j+Ct~U*Y__mc82C1#r3PeGL6@c(w;kSB4uLPAAIW#A^ zY8wWvX`7qV8})3PpL zXwixrM7XJLBbn-4Ln@IvawZWd3~v!E$11r5(Ltqo3m7r?G8WF}d6o#S+T&wk8dT2w zoGAx}UoK~$mh%OIAuXXKwJfZidwCPm>Q0VwQO@0A7|%>22_@C$S_|M4SZ+QmLj}v{ zv+eQlaGOdI4_(?1du#8&Zk>XBQ-i-Qv&mXo~c*p6euoz zTUrRp`gO*MOj6?KxIA9z`M7n6MAQ-E)`&qmP01b7`;T!%v=P#S*hd(0uHT60HI$CC zI*bkpnyLGwG;twBOGJvek}w~+7im;3j(E@$w&Ma_(VN+Gmt`fF&x}yU7x%)1qMW88 zq3=J)=B3T$XT?0%sSzyU%v^H5#+~fg1x+nSPSA8do)-?sNA1pNE1)yr_lRNuMC{5K1o=u|m#_VqU7; zJ#DE{@^u9BmhJ^R%K@OL@Js4&@;WXO%2Er2X_g~f zi!09cn$!C5bplK7)LY(i^Yroym&&FAGbGEmz3r-d?eb&I{KuJ_fOZG|-tYaUZ))V$ z{RpZx0$_0sc~{<83QU;1rZ%_*GE4_%dii3M}ZbCtXC$s6;xKmYdUFI>2J z`U}5sHLHJvHMFwe`<9P27SKMel6P-yLHY0A`d5^K!A)mf{&yF@-L4~TEx2@PcX|2~ z?vu;8`=Y@YrFv-M{hs*0v3$iVx(V;T{pQ>CJ+1FN^Nw?(zvuLO-jihyJTU*@jhkcY8JTN3vWN!0!e-E5B}BPvXuA=RG#ncrBv7Fn`FVx zuG_ibE?xMtFZ+IKV#qfx=47;nRIc7FNLAy;vc)a_RpBFlTq{) zD8}dO+}laCW@b{~7JCDvi>_bw@yFaS6!U^zDMUAoXU;EoC%=C66DcxR+^dsu`S@zR zGqa|(;w16PS1!+2zkKyq8hO5k_SA!Ctl8D1-Q5qpcExq>si#gqrSO^E<@vKuo_+GG zITt?ikxmNdHB&zRwI2( z?W|K}mHnA3KlHvQng~}va`p7X=b2nQ0q(u`nf{gcJ@LL3TUUzn4?RlzO~B{Xh`m}@ zeDA%>8_)dHXa1?UPu_S^8@S)N@^_a{*W!4r0PZneUc;SO;7+dcO^z4weO|7mF!Om0kWXt)0>f7khj-}+qH16M{)s6h$QTgO%pIcNL~xW79$Ktm8l(yPO!B@CHr{5~xw!Gu zEtb0{#~hu}A}UtGWJLnSbB>!-3fi@i{IA1Ucf53HQYJy;a}Mh6B8>%>Mp>)h3Wkva zZGtV52tl4&tPb%Swd!ysn1O*az|k*Jg;lMDCT@Vp4Ve6R4!R?RRF%{L9AZW4poV5% z#jK1FJtsdUMyG)vc4Tx%GWCAaPmv=s)_L;Q1N76%m52%k-aMS5Ml71=!|LeN0|=LA zzP2{BW-zj+^G0N^SYtVAdXzxLGgTfC(BI+P%oxK%bd(ekX6;j9M4S-TVMe<$l-OcF z#As9+!Kt`Xkri3LOoiNoX313!W2&+udm}6PBnca}OxH#goUO=g@)}^}9Fd~|dsNZ{ z%mN!Q8f9c3U1t?;6?o1c8RnQ~8BJEnLe45)e$#EI+d;d?t4s-!JcVb?t4ScMk>&47mLCk7eE;V%IYxB!(KI};8lba za$^j*C_U$Kfx6Tuf%ZfR_ms!_*-Rv?9EVBBQOelYnvEwG7}dvfg290D;FI5sV`4V& z!+bnvP%}Y-R?uVKa56=l^5Wz1D#Jibfb#3Y48^@b364POGAsr;)m0!?P{PAFBf-)l z6_uzIQC)h;qk*a+xmhr#V;nSb!@ecpfs`Vib%Y7}}wIO{0x!4^`&dVN@^|h8jJ%N|&{Ozb3vB z(9m-|2EjgzAw)&%iG8tf`Rwx(91yts;9_t z!b`ny0M^v<*lU}w=qVq*^+!o!mqDL&Ruw{r8ne; z-}*0c4>i2BDAmSkiL`s=y}vAM_k%ZYu7qxd{o%j%hI8US`lIjrI{Ed8+kLTC;sKBU z+~f!3fB(<^@mI?~0!qDO!9RFSS{A#rbKmX}*<%Sm@cG~W)nfd^Z~H&LP(IHbOrQ2n zR`@Ue%HhNEZ+!SAos5gpfW%f`9**Uy*NZdx2Uj{Hv_|$g|6ly;mslSE-S<9dBiP>Z zsUZ z6w(;DY)9M882aMNUR|B$VtjGuu396{0f}B~M&tT>urz|^t;eS3jwbekDK}D;{|N@_ z)bSTB|HGfOHsQ|brSSA$>0DWGyKi2t;;b;cVyuES){b9qxF7$~tEBnI{-Ig0b8hF{ zaTavXg(J#7ZGzs}eb_y07VMV0jMk5qL9*b5y-j=PhVAm8LLWR=j13Ep6yWOFp6*}i zP&@{gKE<@Wjypq&UgOMEP{x$)~GeW(rg^Rec!29NoqmkVH3}=%RKZX@tN3Y&4uO#)#aQ0aM4RkPZ@tG6uKXv`V~{HA?0;W~_%0daO7!}{0{gAk6F}% zpgo17x&Renp6;>&C3q+TG>6IyiNKOv-S{Tb*=A zYL!$XHi?IhOkD;kx6_u<6jSr)-U=+jUX>XZVUpK_GG(Q}7{V*?=!D*a`U9G-113?f z&{ZjWq*JOzfT7~$jU;A;YRKormXU2$2L{Ee2-=6a(Pbp3^p5Or=H8nCl^L zSy;H8C8v>}mrKq|gEtil_pn0Z4`Kj$T_90gIp(@_yE z#h<{@Gfn1$i%^gf;|Aks5ilTYdaTi6C8$m?*PBJxEBBH2VyF5tNpC@OGPyl9E`B_g zHljvG!Ut#ow64u!YqTJGbS3ZnL~<0Ic*#EbrL5x64-3c8yy(?nQdFVpPvHs_qpBN_ zx3FSVQFM!Gel;xcRRVixDvegUl6M0sRl6Qk>Z*2^mm!mY(g@K|a+g4PBnRs)z2-HZ zPe@Fjl~zK1^6F-5mB}jnSW_cPC!@ku+hrrCH$Us#x-o;Rm`(S#FrZ%x&^*DSLY15} z2or_({icQXz>x;0NBd4cN+_=gYx+awgmHN_O_js!WQ1fes{K@p)Gmp$aFI4KETpFc zYLTH6PAKh1pjZjpDNNppS6a zX9rFEoXCa3^?|k;LLE~aZ`|=zLy~~JNe4aPpRmNWKN(xo7FE9ia$IIwh+vVo>4xRZ z@fzXiFnY~^?nf#h@-sKcO$VMJaO5?W;)%5QUQD}+MQ&+?)2v9vc|3Vm&*6Bfi?e)a2}{ ztjrsQ8ESkstHrIDwJS(>HTR~|6*{k>1XuF9!{i{(%B*C%Yo_LqK*lN?xn|nj9->;I zyFe+L2&V>9)k&s=m8c_|;%QB%s8UOWOjlZkJwNH5GkGsY;HUS`=349tOw1J)<>1C4 z^PXEa>($%e+6vGqhl4RbkX-rQJEW*hm{hqZ@s^!Wva&)LYY%*{U~ZS`R*cfm76V*P zSu-h8=QrM;g$38HSsq8MTh906c9<)k7T}tMG)gBr8epc+eqJtXX2XmXG>PVtERu`E z5k+2YkM}RLiBqpPzPvX&Y%Nz=s?-WzUgR`nSqzhLWS(=Ejc=L$rxwx)luk$G%w@@d zf^uzmT+Ll572anCR6pT?I^a6c3vP_g@qSno)tHqoBlJ&_l6CjGT`D$ru|bp>wYyr; z6jrd71iP*va6L8CZg@q%uqJ18bN>0C`{oY{`P;j1wKZx#{Qc}`1N_26@B4Q7Upf1& z`{aMYm+k*!`78L+pS}5q75bL1`sX%_^XGo!zy4nozVClLw6Om_{^gG;{LYu}zeoQ2 zuN{7e{O|m~zy6EmH*nuaf9acqKlC>)*$luh{^D!Qg5UhjPuSYU5B~TCdoF+TH~)wK zw~3SdZ~RNooEP@B-}EQ`wEXY>#<%{Gg@5jAEKk4j6CeGL3cvEJ|HB`U|CfI4+niY_ks!w2RDI-3@0oKbX$cRXDA=9q*)-vSFvF z`owF(pj}{3ncmL1*SzM5C;EMNWqIYLg`FDabmdOQAAPjnzvrGuAKjGD4og?A+_-Ud z_t*bA`z9@)=u2jOHL>C}7MumxgobTzNxa?Fc2nzd;d}0p?ML^sV#6p~A^W=Bx78Nh zbI*H$O&w>>pV=*+c;bmOyR5B!|L*(6-~A&0MVoRbhtH#;ERSw;{m3Jaoch*Bztzg- z+kWQ@Y=&4iZE8vul%-u1Y73lyAr@#>uPkknbxrxHM^2r(=au)oQbg~+=X;EKd1-@u%GMZHz;lsVk!N*GtzV4x}urM5HT)oY^>nqPHzmn+U>?sH5QpLJImK6=33T zi)xa1nFXA#ILE+pNu-XP#2($lKh6R7NX_kr@>mfh5LzMILNKzs=Z*YOacA4aS6Y)WA|pK(T@lfaK7gI@$`$ zMA5d#GrDFOqc_EVt~&7bpB0C|gXfGLhgKAtdDG^{Nc40t+Le~YB9IoUir}yJtP&EB@w;k;WRxvIp0XavC{0ro0Zj2Zl^68o^Er=VLuQ5?&Pvv|mK2U1vq_eZ zi@a2%TLS|%7GGPe12Ry$z5RSXafjYYXO)Mn?4xga&+nFbTs#DL-;zg(=! z8)fk@x;p^UNOFBPg4%N28TJ=3Euy8I5=(Y?nxo~l)>*l&k+xX5e1O#UVvo&D{Z<_7 zWmOH9IGg>RXE0Zb%ZnX+9UWPaLBE<8i#>UnaZAraB845Tx^l+$F&|;rVH0&8G8ZNb zHy!gbY_`}Z)jnrqA3lwj<~%&yVvyC!Ls#|9n)qwJ%3e?qcdl_i+06)7^`RnQQ$6St zhJ`hRknuX@<%OL(I1^gpEbC{b@h2_}-Tq*2ud2n(TMM*|RI#iRF%xNBq}|K*GM86* zC}oA-tKjMH%8=j1>25bgJJ-^dSFThy>0s9| zy!0PGB=o7j{bPU9NdL&a_-jA?2jv^2$6SU! z^pZby!!37qY7WCEMpL`mfL3oHo<9@vciXxC=%cUx^P*jiubW%}gx?ze_xt{do#Vgo zm*4YYN&dtet{om1qxbTwukF&$pWofZ0wc+S|MlI!`kM+odj6mN75U>2Jbm@bm1o;p z676_+>c>C05U2m}Z-1BkpBumY`{ZxJ7hQeBQ(fB7c|Ez*bxt=q{GBZE>tedNv-TyJ z&X~EY#_%>q{eCKAWa#$(>U-E^w0@7(#?1d&9hs*2%2z(o-}%J*-~Y%XylHu3_Xfkp z<&#g+GHUqBfttt-tKo)rXa1vSA9c&~r><>EQ{}9aU5ytT3m$sthN~@blgY{gjRLXY z#-^UzhaYteQm>pkQh!uA1M-yx8mZpA`Q($2KDsoYfjy)3^%Plry;gI#PCeA8yXxef zfBz?+K2Cc0fzSU?Lze|rHJOaZSG6VJgm%euhLweqdtnwhu6YeydGc3|l!16%3mVza zJ)di;LGG=i2c9b&YF{_4IB`=h|GKX$#J4NrG;XoUgzu$^20bNCOW*7ot?RV&y8XmG z>x6ki9@=dH^-7|K6_z6JiC#Dq`C*aM&z|)OX^cLR7v&rUuP#gilQtC_V!A#U)Do5k z>BO3hhcUg1c?xB!T zWguO`Eu8wlfnelV!MKbr9t;zqc^_%T2D70>Dh<&qIZ=*59kZ<<%X_R%h=??YNhilA zujxUtx?+&`X_`*;TqNcZH?CEI3r7S*NTt#5@O%e1R3fRIw~8IbN^wCIcz$WLKS^q*$-AXnzZ*t(w}H|Pql*=Gj5)OesRVP^vre*631^lo zPvlJL3+X`0aYM)(q->DnM?4*z0UT_rGWQCk0!tkbDXLs$lWep}e$`f$tGDUE8%Sl* zgy7K;bgfOo&Dvy@@t{;3p+4|jZVyU?0p460uGkFzAoMEGlc8!dt;_&p8v@lMymao& zlO5s)=k0q-W}Kb5qOO5jcj}V{#~Ih-w8IFE-*r+?2vi&$h?x~6lzxdL=qK-ZH;t(i z&(|WD*aaF|sj^FYHndgExzffcyz>f}Bji|^z-MVihqsYxhht?xX%)n<2|q9z0WMDl z4l2qd*dm009~4hz29_h03q20Fc*G6*X1HdnE_I3oVo(gFe2ZJVI%V{$B0@R`Z!LufLp-e%cNRAgD6w0CKwcIey+w`VX}x>(>1{poIT7e;mtU-cbzh- zqXE%%WYiZgD}xQ(Gj{sv^W}cv%JHa*m2)YWhKrgVKl+O%db| zH(G+eE-cV)77Wrc#AT&rQ3KiaI9WRHX2 zI6}Og1z)iE$#*N#;tzh_m&srL_Hy}=r%txupWUm#X6+aG-F z{!1$3Up)I=+rRTW-}Y_G<+oo|O)Qt^@cH!0@-+BAX`hu$|IXGMHg$BvH|AUR&Tvb+ ztp%@`Jn)sW;JZJ1-ycmDEJ^vd-$b(b6&8GC&4TMUf95Z?+K)wcjM}_m%bU1^esDk7 zh_0R^w9f?`@8q6#oU=Qe|3kV7y}@Z`-`DB*ld2=%DEo~06afF;UBV*V3{0Kt{6YiQ{MB#4M)8%=V4;^vyg~kV=w>NDYIRUnz$=S}sADUjVk$gM#ajuptlOhMGK$TTkv4~}*X7U+!A z0HTOB6al1iQ)?HUver_e2ulZ5p{z(GiH*Qy-a0e`U|kPJ*{HwBE-%K}0wFJH6q4u4 z<4RADd8L@C45Xz_9*j~W6H!EEqIFBdyN*Rngzg?jjYu(>u)cxOSW_kw9z!TjYBL~I zc;WFm^p>)GgF>#i=8y=hl=P%%6-wL_Ton+a^L2KXRhAB45S`CFGph|$wPfp;ZOla@ zBYzwNrPY*m%TZB{>yT1z3J<}Zy++dqxjp*pHyqC`_=#yZgPn+lNR@eo&J6`PI$ zdUPbU!kZ_!5cS*UQo&`ghse;e63k*1_yBxE%%@T!-$_wLxxldj8f&L>yJk?_Ry%qg zm!8Qj5MkSIhG}+%nUfkG zE9y;rn_2Y)Y8{b=GT-LuU9TUvSv8h;cFBy|M+?Aii%D39f66eSnduhgvSf%U(m-5G zkA~d6SbE{}(1%b%m3hM|a|b*`@tL6;&g-4KzCmh&4gLB{YD(?5;WSE@2IG)p^Y5y| zceUXz{S?X?&9&Y;^WMJud>Z+wUw&-gT(kK*_jcyilN_=(?rh>68~09Zc*s4Q#2QUU zBHbu&JhQ`+=MJNmy>knCy{hWOKLM3m(}~XvX?yoso?pK4nP)z%>y534ZcOd^db}b2 zN$|og_>CKlF0aqyzLD*JU$_M$cUN_HqsOk8DR7bI1HBpX;=fEgLASeFA$m&UkHgve zuvWTXboVO;KI_?S41HfchEBeHvvyL!PjmEbqIZt4)e>cx{;OEeeE6BZyRmFaLl^hk zL(rAvg#+K?J;s82`_J_6x$!H>f;&rpEotvR_OUg>Z3CNSa2{~1YPoI169l|}-~`S| znaOMQU%f9y~cDqt@ekvXaG#qIfwgkZc0qASd`^qYsX9mB$(ir~B(# zCg2b=n{G#5WNk%@Efb42YBZgSBx9P$#ng0atjsO8{b?+kv}mJkcAKq_Mq(cYs|D9u z_{V^R3*&$(cwhLzp3)7BRipj-Ua7D*)67c~r2`iu)MZ2WUY(Y-H=}8@R;+@pQ3SURTXmyJ3T*(c& zBxzsdo&t_MEvWl3#-@)}zfo&h35nQLQ>N_4M1y}Mw=E&%CIzkUL&UXqP(-SQ_1H3j zEJq63%9Dy9r~tvOu%LjzbfmY=)gn|ON7MGn?kT(`_n=7_uj1(RGrgV$XkwkzromPH zVlXSnJ4vzEX>WLFS@!IteTZ@C9S*qIJB-=L7kZj;)P?}DO|e1j>R}8Vl1t4Rk6~j| z?KFfM(mfj&V+s|9VpMf0l;cSee58jPGwc^wff%-OsuijV>#-*^6ieDoT?syug!Tq5 z{nWdH)#h15S4v#G8nOn-T?EzP(lZ&PeL+p6pXkTIC=o3=p$E3gzmXgz>wWX4ie8{F zNEN8WHQ-67ZzT?SF891f;y{0}pT-V#y?PPt{D93{rdk5M29yO05EwJ?RxUi_)}>e| zF=|7FRFtWxdUbpxbDzqYN|6Bh8DxCB)Y7j~E{yXjI{S);1u2oP5;H3?C1CcsETh=T z%VKNBQ-FHZ0pSHe8kVdg?2$Gr)~Vr58z?FR71VZbdz-J;2398bi=e%Il{_!_TFZzr zN#230`U`7vfy8yC(3580(WGQ0IW``iXMDT8rN>ucTOSNoEXOLa*4TQ{=ReJc5SjNEbxUC9ir>2C9m1g~}VtQEN4-eRjbP_ew=laXRv z1GRw_%vPw1x(+3umS^CNt}Da!M0mlj;*Hm0{S>cIE1y5bI`uB&I%8>#m4C{)$K31d z6p^^@+yjr<2u`E8=IF-!?BGqxjsMw6^>Zqfl=XMNTRv;&dA0bn4Zk}UT)o;nS-5?E zf~G`u5Q@Hs2U~Gm5u8qw8`0s3%i zm+QG2dv21RVG7-Z{;WSWs)n9gUqz_!&P7R1UC^MXh%O?_!G2K@`Wg(xiCN@TJEr4} z84)P!J7WQkIrKJ#AjP3hk|4^N%5jCcwNqpuzM+&tqV9}M@l{-Z3&r<=Gz|FrkkY6DXQ*Z5e-Ud9(WVbj1PnbTj5ceY} zgnY6JeouV>O$W$Uv({S-lhCMsTkr8g)7*JMOuD1xz*l|-O{Fr8@VrM-X*9WI_6#mB z;NqqMnD%)>k^R)=NqrB+k(@LMLxG=|M@?r?=W(w4c9N@W%=J-2*fezk_q0aOKh0GSEoAfI*>gS{x`AM*_bZ{s& zc*00TDq?+DpdtW87ImN$PSMYcxr{0}8W?5$l0x>%059wgSlT*s!*Sb1_B1D#5yy=@ zI;bc?k?K>4Sl2ea2kr;6q3erZ5~(QIQ7vd4#5BDlh}9Fm7Ne;qPfUN=NAH;J<`YX& z$Q5DihXYb5u@y-Go6)4ktW}gM6LBRAXaruj145ZdaVocg2rUxO(S=CB4h)!S@s8kf z@<`63*~Or+jn*q+?sA-&k4b62K5MR9do%KyJFV~_Drd-8wpIEn4(7A7mNEfuk7!H* zPV`oU$QgyKjrxPdpx= z(zOR4Fnm-bnhh&Gj6a%=xK5OFo*@V-j1%xOKVt?Y7}c{-61^xH>|O1Vvh(sJ?RD5JhId-9KlQ@ zO62IpfY;mOJ%?;C+?odjilTx;QE4_dcxJHm1v^^HW$Ik5C+Er*<}^8qfS0#90%eQL zV4JE8v+UsV0Vnj3@~#HDE^$G~WG5HHF;0n=X+RAXif5yJUc_O#mLI$t5{dz{{j$XF z32%2W_hnp$_vE-gET?L^R54T*rjz7+Fx$_@2L~g{FKOVKlV_pC>QpNlo0i~wB5CZ3 zLod6i4=a$EibWwH*6z?9x~M9?OqDJgY9vdCkb_Bi+$by-sP18h-lP&m%hY^bu_HUJ zz0t><#*wWj4)QI^>QGT5h>LjyL-_L1-BM(n{k2q>e2H zR1YqDz3upRfnju-pMJ>3)Do-btxw6HY3%J*UthkUAan!!4%5UvX1-Axj(jC~-Kh94 zO%`^EY=jKb5|s^eg8&?}eV*D^%+n+Q>8HQ`>(8I>8&C8`I*BfybI;wpdHOV?F?WqY zK&>E`!Ohbzzs3$@f?TzF?d;Y1I?(A7C_{sXb5aWWo zzq;G$^iYirG{RNbb-qyS6n3eShBdt%5o-*r9oyu8b)|D8eXr^9R>O#kGyKP!x6Uv6 zm+4Z}ADw!s=Fo|8EZl$Uerx|?p1JDUQrmSOdg9m!?&j&6Z=9@VzTMaLzOE<9r-;4@ zRduskzV3Ce+oX5HPo@urbxDTry4UuP5RGv2^m|Xc8;{;-xYw?xDt++5@wlON{Ur<7 zn(*>T_ZJFgQ>l3>e69y)Vo0<0ug{AQLK z6OXZgonbrYOcVdnaj$pE-QT_k(a=eX9qx8-G&;5aU9ZQcbXdS;Y4W#@v7pyu|A|Un zYIUd!+$p{{%;Tq@c!DX1C~|Asz{1qED7tlP#iB>jBnA-Cr5!=_Qwjwfr6ayHPJ?I- z*nP4ItoeQ|)CpYf;5F*yGib0MXzZk5_KI(ylr`+>fMN-({dVZ<=x{9Dsh>VQKWF5pSZ)E{Y3I)lz0)I#iq~j#S7tg%W25R#6;^y=noXl7>yjtE8wr zue*7I5jsYo>dna;Ww}3uGN=+&DX?8FKYiTD=3^05Z1DyClFkxJ$GJi-i{vo3P6#0{ zlAv$;5{`+Xdv2E^t3xTZiX1%%&2vnCM(DtA>~(t?GIdB|V>)}T7?xo4esI<42+pRp z5u+4G=4xKrK&3qGp`|3KCp;@y;fB#JRIo(ki^T?8`Yd#^6GKyx)$SaDBt=E3mMN4H z?InEC3l5FVORy4D=LleqkQQn?gT%|0Dn!MsXCuk<6i(AiL7duA79Bs3WeDWKqrJ>!fj4KOtvrsH4gZ0S`a3Xo4ouaq(-Oo^CVs$%G3 zHhhSKFjnppP$J4rw9tHexPCQI66%aHTCqHvRB5gmtD>RB(b!a~bT?<74@7KLp$JwA z>abcxQOwkPg^;3<0oXISg5IH<4m^l*&34x!aU{?)D$9usPu1jmL42AMFkl(BI=8ib z8Uee&H4zDx$%;CjY?YW7Ky|1E==7V73WfOw^nh<#cxV`JHHyV2K?)`KI_F|GIlXWR*{eMJ)(E{)ub2u%vvKLev#_! zh{WYqgVo#`pRw?8#rLEzcDbH&xUrshjEnKsA`sq#j%Dor&gujFn!h;0=Ug~OVu6N8 zH2$%_nL>ZjgTCUE%SS7RmMx)RbrZPpq`b4hghm#O&;KB;aI%Z<73%@(}{b*GK|Xcvk*9JG8$ z#$UAj;9^mdpGE`E;Erkt0sgk7;N5tt6jmBg<@2!M7KR0!ec9F`TZDsI6|jKT;U+w` zJ;L8^`Prn(hjmPto{@<_ew|v{Ja{lk%cRN0N&3~F2F8)%lG2tt&*BH}(394`Wj@$7WsD9cS zNNniqB-1^)4K>u2kGZf;| zaPdDG(0eS<4~^)JH4`0KVdwaobg(=78p#56?_`Zp_eR!ex@gpZ_AdC)4XyB%=5j?` z!|EN zCT*1)G>pb*IZPTWFJq_Lg;zhps8s)s8I2&8#x}GcPd{%$yjYSu9St;=R1E=Jr=8kb zS+c^M)q%J2S=DvfYDfs0W8H4$?V04rWyVeJMhpvDi%lt*8NLzn;s{FTar@TX(W=Zu zJkyMX;Q^Td)@C%0kVu_LWPs!vilyC9bm^C?Wpp8f&SQ6=j6BfymL#sgBRlYAjhh#h zU~tX20H$&fOQki+GbvJ;uF@E<0+MMNd77)6kO)8T;kj7kt}G^4&XRCFRxTlWqImn` z^o3**@)}^KEoLvBmjx56A{#{olQ|FLS$L;m zbD!58v!WFo4!F+#sps*mTwtODMstu?#lAQC&oyh!$WlLg5Ad=l9LZ-kz||56bRUf7tOu7d2IF|JuZw_YfDEE$5@V!v^f@BqB*wan*w9@@tS;(?i@qV7 z5?6}9B@G8$ZAKi32QBeHGi#chuAZ^Blt_ zR>ryWXt3uY)7cDBCJ9-)lCw$p!QOsb3o8B8^tr}rCo2)A)B-@us;j0}-|-5YKFrGw z$Nbg7Pw5}AZNVA(Uzs^-H!Z8e%e}xCY1`r0?w5rTge>3;k z1B_6T?Iv)yyI8c@W4rWNqTrk2Arhe1=DS=Kfd5- z?i-fhxIBIO=FQERzv_Nv)nbaiwxV^H*9U*v44RzyM6A+jl@NFm*yJ@-=!-7GnFsE zf9$^fE(_Y6Z5iH- zsGHY7Xpl8urLYMp&TNC6!WCuWH#kRv^G(XAA!H4rmY@k{X2AR+8ymT99aQv;9!a7d z(&OuM$fiiompLn20^8)WQn*pt8Lc;@Y2x=v+ktADTBk8(Bp=Se(b%oD1P9JTm8(*z zI505ca1fX7FDC1!z{wC`sbJNaMuCmiB<=YnwW15sc-BV`-p25WD!zc_m2Tn5fka6tc^!R) zv}n2 zR3Dd0_5DiCP-BIpM@^#7cYwyM864H|BEI%=<4l;q4!)+J=-ozOb@p`g(`ajZ<_!UV zO<`PRu_LmMviy>~SCfXySC{9MEa7^XV2rxv4bO8%t3zh4RbruPZ5)sKVI1nYX(X*l zxp|}bM1SI9?8g`zfqhoha;vg%Frr@YPX-2x7nY>uAk#=n@ZexCgrH$sg37#@0=IGt z)P=fEnA+iO8J78tBnX4U6$8 zn+~aYdsEwpvxb*^mgH1LP!U%_FSIuh@(>QEgSO6~^LVl0p6ow1&NasVyhh^nE{(k( zvo*Z#fi!xrx!3VeF^YG5LrM(AY$1*2+iRmm?DAW|P2}|Q=GqvW{_YibV^gXVW)$&> zU;lN-(%c_?{xw%tz2lF6{8v7{IkLHtIL9uaH_FJ~CXcc6!haW>{n&K2F( z@ord@Y)ANN zzR=wSO(fZ|fGPzvitPxmp=V#t*z%#1`D?(ae`SWMj#?Hqnc*pYXyYE%2 z{tUqILyZz$lxw)H=GR>f?!70K(6CYSyX_Zk0*&p{mh%6#_bmW&6lMO^Jw3ZK%fik; z0wE;X9*q(?xZ9v0cq{1+k3|0=JDbe<_}>hof-hzj&fLmD zKvX<=^bjC$J_5c#@gVUyf}myt1Y$_&|L?2np6Pkd>~0dp`gW)5^?hG`^{eXcs;=%T z<=JNl6mN~yYC4VUO11db&8c}^b873CSE5AgnUzQcODO%hlJvidfK{-rHUux#}z8mEcA`N zBzhnM5(l5V)Ge2gsm0b@%B@1LZ3GG}Y5y1V0A{`a3#)Qe2q**;0t$g<5J2~ZH^aBD zc*L#A+E?0})LZE71^8(m{_mXvaE#TfL6Clm6audy1P*+Yw#JTT{Shc8YARG$##FEb zB3k37xoAcE4}s+V%c?<6XeWV@scZ#!RaiVyX|)YC$ZEeO8Hdj>*$-ghwa>uH-DY=$LM^;lR|E8JH689UWMNZXPZ=>-^ zqjbLr&GPyD0oMxn52Ux**UiR${vEK=HLjgT9F5Y8MYG^-p1UA-;Gaa91zX1C5vu}1 z(tDp`Ogbh;sM>c26Qg;bYniB4;151qH+T$9mvPFbbjSJDGai?OubOu+kwvN4UrgmGgiTfzZs5B>N)VQi(a$1FLv{FIbJVB!%d8Eg5gowuG1Nk+}q z<;07foaFqG?^twrGGqESpnT*zj{NauOv7&ono}=!>~4;AA8{Rc3yKA6G!!*!&ux15 zHgJF(ajbS|ltVR{iH+lB>a4PGlflaFVb$jv&5RLw?JOymU{3TIyCi=MU&?{i7?3P^ zq+EHrCtk-1=2wTUeo~;GO>hQ+_2tH%TF7ET>>s-w%}WLh4S0pTU&|Dr8m*Tu1gdi6 zWRf^a4Mn|0pD&;AxczzSfG|F&E?QtBp&0VHf*cfQiG-rA()3Ds!Hxr!Hth$-;9BF5 z_8&wN#0 zB?#yxp}(4dz5mAGxHdJl`oLceHm_AfO7|Q)5T&eY!Pv*ntrr2S6ktb7kauMq$DC{H znU_eQPr|(j>C(IWB^>)UAg)VR$qSDAHp2$mVai`EmG#i*gLPqUy}l!4WVf?=sT_={ zo$5(V#B#J9-jL6%;Gu5vL*)<*Vj#}SkY4SykG!z-n>)>#}|XegVu43sv7bXt(vs}+PQi6aU>l%qO99xH2;rUK>2S3Ehfes#{I zD381pS&KOG3jG#1=|^=*jztHtx*T}|2FQc5sYauZJ) zUS5$#>2!AB&kh-!g8Pah7Bu?}zF?y*vcnO3^`>Z7b(Tka%MW-vg~c5Ti1eY>4(w@V>lGZubf;Q6)s99vFxn|Bq-A6Ibe1f@359sk1_S9>sI4-+ zpaBv?#NmW$YQP%x&=5@5n1!0gkEnd7Ffy!uy4H}Rz6OWnd0}5KJ3qurb_yG$c2YN8 zH1Ikc6+4BMOj|gWk{2%A5t+A@ zu3W3xsonW1RWqR>TzABuDYSYg;TUoVSyhC}$NhfE{TYISNY3*K*XJsvHuMOIBz8Y3 za29lM8Abgbe}>PW4-lghMx9z3wWN_QxugV^pOv0*9ci^>(n}?j!2=>4SO82qzNm*5n);; zGi5^AkU~b*KWd!PwGt+)Bvc-tR8dqOG&w@s8jXo`i^Q5}$+^Hpg=9BLvPh;xv>4&} z>RcN_qGp3~mS;-B6ULpAnMNY%YYhtzBup9OH4PXTX<}~| z;^6SXq~Wf}8x=0=93g0;I1w)t$+j-MbTH}Q?-1>f-@?JvxxXV-4nc_zJk`mm9$gws z2>6!xXaqShb!GW>de+hk>}kQ%z<{8h+Ws7p8l6=^Y02x^!hR@ zqVb_bAiXIsGSbtQgM3jP;<2=53c-q6K&*yqV-RKXr>PmFG$#|W;y4$LYH~thtpn|b zg^jr!Z1IJMBxy(TRa1i#JI0*Sc95dxVxjJ>kRD5G5j~zOp7gb{EQ{wNEUN(siy%E7 zt4c@}3?h*L;8b-)JcmnAJe3U(WJI`?L!5KcgabLCSeCXr(}QSJG=?+SN>2E^gZFd@ z^&-lRL2e?!O)JRKj6Z`r8$EV#n8{4p`l_`-sB*L%vx3dQuPV<)a!wP~(oDV$c(&9^ zFQ3ix!duGRTH;mXSKsBjJ-;VE}iWfGAtTe@^k?CTQzOhMx+JTUdvmypqjq@8@K)Gr=OlOh27Zy_}rN+ z6e?_kTxrEAZEn@__jWC1Y~!3cGq-KtJooImXIDzrk9qp(?N3j+>qhA4pVGD2>8Z`# z*0!mE1)ZI&YvbnH8fv8=)7;fwpZqh#l)znyb^-PY*eY1MdfmR~N1w-DW8+annBdzLL zWxE>(1_mZzZndLh)vVspR`X|UmEDVu1~0R~si~;q#^ZB_d=IrdqYx;1QshOmWN3ya z9RG(cb4xQVuD9VrLAXXGNc*cwT4SWV!O+Q}TLp#7lh}wZHF80x@E>j-MQQIOB9+!GGNM$CiR1E&r)ks< z1ewi9(Q^@c<`D@EWvLyfAu5c~A{dtu6=FoF@<5wb;mp+>>>Y-4*w%HNpY#xv0Hj}i z@`pW9Ba+AtvH`)(=@_FixKBl&A5-pP2*rk}9cII*HOyNQgU%4qn1+cZ!c+NhJVaM8 zPX8D?!}Xq*!B>|eA|up!e1HaX2`dsEq8k;jeCkkDPmFNZGBHyd%4EUez#g1AG|MG- z>2?Y}q*fz5brI1ST#ryAnwB2Q({;qb51Q(eg=Bhz2m6NN1d=TWqg3o|4Gd}iT@Yd% z>8pK=GLywLj5tXhcIDO#$FK~|s4XE=xNztg((QCOLM?}L%+lfAdOl3(h>9VG29%GM;Wji-C2+q8bz~t0!ZC}RDT|=u;Rlg42xqf!$zPYiAIa)8p3kR-Gk88p=Y@x0 zT|s7njabu|h)=UDE6yu z3sFU1A*#|NM(yB)o1|2@(9?*v$#)e;Mz-HWdt#$&OA&=1yk4l5qMps0dnhe-`u6|y zc*6c0kiJ_>mf~H{0@od@1;w0YS;A;LuX^ub?rGv(Oy5eB{{3Cpr;L82scRH}@WbcD z)+$Hm3onQfc6fJicc{L;TKwhldgS?C6o>8CHWu@8-PSGG9WGeFo6cUCBPx-xkM!v5 z=$LNN*=NFC!rPrU#c*$uF?dE;lca_)drMcilXll;9S&YR#BSSN;e%POrdU~mdmH}B zYVETvZr4t2maz@4iDT>Jj<_RzeLK;L0(2Q^Y@Wtv!7>rOkGaWE_0BjmAzU&kCeEXHf2FMkiH7( z%2cy7vKXqD18#$9a9a4w;dP~=5cw+6H%k~qYw*X)B`G36iW`w^-TN`McC^HaF4?<(W{f)B+VBJGmgKO ziL&;xudt#-nY=&8yyu)6o>_X`2hUbwrYCs;YW?n5A|B9G#mkFv1q_N&zAu7rE+(1? z3967l!QrK{ON@#=85&P$<-MMOm}i*;o>~OR5-kG{D|GrXol|Vmo8%DR-4Ito^a5$t zMdoJXv!NJb!+3=!%tC2cM=`O4Ws_-TWR`_3E+TkJxSD845ju)LL&UNQ60j${Xf)Nr ze1aDx!n{JPdPInx+VT*(nK>{xDDeQ?BoHw1gCXz(1(1aKxuk6(l&C_aZD z5#E9lE&)`m5)oDPAoLrg!6!WeLx6*L6|2VK)&&deP41ci8NBDhfw}ROgBsf6G?+v%+ApQ zCow7o{Zf#n>aSCNF!+SBpw95iF$+d$42C2q5v3c3LslRo4Qi1_1PS>k!zC@i5FDZ~ z9=C|7dw`v`P9?A~8q0tcwTj6uj&s4lCC|uFRDur7u;8H4gi&v%MLQnz2!PKa4EY4J zpbI58={)6{!NTCCpN(G~;z*+(l`v_+O(7i7Egs<-XC`6`I4DZvfhk;4iqCEg8<_MO@s9;XPFg*B5FWIOlCEt;dpf#))Pu zJ)CyXb`1kiNJ?4w&MIewm}ZgQ+QdcSdDO6}7K)Q%+!HZ)g45T-ENocALuvAXAZ6v# z7QU2A#;y1;CR1oqg(Cq!kHXMr@w92D5~vpc6!B97E*~HxqTFIoMSS>iFP$?3@tA%b zq-euNz9Dd%#NgokA57$VmWQI49vtvQJXwy+EoV3}5HdLw#_dA7&*!^1ee$t%#K5Oq zOw;nHMQVtSNEY{Oyd3DzTn)qAe;zf4#-&@jo?`UouB}C5nM@2*}nJDMzT1rcWaQ>17GP2r{i%2>~qv2RID}ty7iUFWpk6Q8c0M$OK zBaAj-QVOS=Z8(}>Nj=At@zh{)P)c$gse&L&A9R4vjMMpI_zC4MX2rnE=|skxuwk2f z489xX_%IKJV{8}`sU~xxT<0VrJ1CsR!)!2;=DH0*aDhzxI1IF$=r9l2woVcLv@Ll{ z2`8heW2#z9Yg7t>F>yFT5paiAq#`B`AZ%n}K_W#H+95OG>;jT{_u zAlH2hdkfPBe*B~i*FMgkF)WBq+4%FHkM0;fnz zP~4Gn$zE8np6yvLEhx-Im$Fg&3XmiGsX$D?i*5#`JIrDxTwC1H;o1NQCzr-zKq<+0y)9j0{#+j+z*LPf*+`3iw9EB)})y$3VJ?= zT+z1RL!&HdfC$n*NooEWzxXrifbZh8vK97lwOkO?mrL2+AL|h!3JV1p-|54mi$wRN1d1O(97sQ$}go4Zx z16autVWv%2EAd_%#o4i>88-_WBv%VZt&GXd4YKiX~?ys!WwJU9CVLP;yDvCBg#zc@1O5TC{$>c(Gj!$!hV8 zIiB8>71sCEQn7@!G5Xrncgy(-@jY_POqZq>sOy$nZW+0G~FXDorITjS1?B`Qz3n$alS9b;qLUO!c%Hu_ncjxf;a{1*Vl@ zP(3dyr%6MbaInPWZ>n(Bl{OuV$MK?^95(nRM!9j5rqXl9ED?ZzUs14>0s&gXN=Lq! zwZo*C#L$v`%`_QN7u7)TqKd&ZqeJBistD#E#N0-O_-QS4(5vKHC#s-0;WJs%qlLv8 z5JBh60T!jisba=PR3g7r@CJZL1{ESeTmnt%vIS2Ck}>f&;baK4Dd^21_edC-L}(Te z+0pYl-v+z6jD_vZvHDyV0n5D*xy3Mr3=;>@R|YyM^6v{WedK90Fu=ord%F1m)5tFdh#G7NJb^QkhQ~FL4yK5cnD8^Tyk#1ChF0&yB1V}s zttqPMnL?YDdHc;`A}xsLqeBs!vuJ)Olff#x*?d$_+FZ}(v1(SH^NGx>mab?#&hkT1 zHWVL-=P}9~ipOCFxmliXezaaoeZzR1au{dlhnPN~=~{jebMl%N&1*&siw0)$g{GIm ziAi(u6HgXaRmet%@|KQT$D*|O8aItagYy>FT*&I)dmEIkyrgF)-U6OY3=M_jsPQ;f zYsN>t(I{#po9Fn%c!=}t#OGM9F`tR*Lu??Lj}FAp{9#Ho6K7}<4mHq$z@>bjQ~}J% zr_(X~u{`dEDIG6pq*>B55gtP3MmlJ4YY)?cl8yZSs66NMreduY0Ma<*i;lcW$MI@y3iYSioQbemy;NdKNFf z=%Sf3VF6p(yOfn$;I3x-z=H9XVEpoPS8sH;Z9DqtwwGRd3E#uIOFcUA#Ft*`3cRC0 zId$&oZ0RXRbJopW&3b3fBnz-k7%V`QxHR{9;)!i-T}({)78G@209y!5m?y?edP@y` zf+{W^dRJ?$^yS7I>{xy*7{n;nJRJO%pg7Jm~c289_pivhsw_8D(ZX=LJ7;!c;kh%xF+D0 zU)g~lj|pY6{y4yQ>3&QUGlf&U7GVs79%4?!mbMbfIKHD*6sJi9EPjd+v)>^l+tOkL zU0^ed{FOc*!^#xIXbI@zB8l`TizN)O`x+AB^%S`i5hh>7Uk>kiisl&LZ^>&=dp3ng z3V>Rk&(G5l;qn7Pj-_movBvO*D98G;US*VXwiY(QPR%e}M-1u2CdeW~u-GXb)2N~G zlTZ~m?kkXEw3bB@>!Va3t_YB#-3E+(ky>9K{>e zNKc9%x2SDeC_A3(Xw;foCs8Jd4RAEbpm?3~m>9hq;Kh?1PW6=+lb(i2F=HeekjrbD z<^YMbTq&uVGJ%4ZkuVs`EMXYO@DeiqbeUXDut6N>j7t^_isADDGOFY#Q{+)pj~&V4 zeip&Qx8cGAxDtux0?-&?9ClFN@q#(^gl!}ivvfF~b;b=ykCAY3)AY2H5ho(vns;jI zF;QJQH8@cPf{of}G{8$8F)cfsw(80iWor1^ zSqfMZH5!F`>09syJF#OFFKCN&#&#ST86BPVoP$wc=bkQ%*WJ3KFM5-^kPppB%2`RA zC6-l)Z_xvdu5SSwrJ;H~1z7Xe%M)Cl1$0(qnB&xwtCzMjyl9a-dcp~e?b)*jFC7;% zs3sK#72|~o^#zK|HGMn73l?-SvY-<~@V>tKV_^5~;kOr66*A|D8}lUzBV)SXM@WV5 zE9Oz=BTfx-^6fM?KY7V9o#C_CdhoQfPH~AUW8c?7y!MaFgNbZ_MFEa|ooi5kvCSMP z3v?PR2s%&}6!93J1vx09U-{UXq6$Q_Yla^pPa%L7OjL0qWTYo5 zL{jsIf?koC1{*x8Q5MuP+5nDr#&iTuaB~nd@f?Bs!Y#S@wmF=(Gy0I0)*UR*%UC*( z&zdo%*|ZwR|~LpF~`Z5o3(`C+UDAV(v$M=*Fo%s_1!v=Nb|bUFDkXo|v;STt|ek*k^nz_oOO zW;G3Lh5{h*J#v)kL-}k~b{T2GN#RFsPBtZnX%s@GwoFkpfY@MOi(m>hqeFoe(jtS| zP+e6L0=gR0Eh;9Rw5G-INqx%}WbwFScqkvwX5%cyAMR+^b2LLD_N>!K6uKLH*}QzO?VU?jBe`p|M%8XV2Yt zclCAQ_4nPo8KXDh>AiYid$X?lna!Jfdq#52^80**f#W2TWC5eueMV^DVOrg1X})yO zy_{h&`kq`a7&j(=SxZWA(vlXc0!bXblR_Ll=q57Jjt$`x2XDOL`HS(?7nZ+ZTupy2 zppyGsDS6gVP{zq)F-*RuiR@`irTtc%i~-`FUjpTa0t_|0Vx;S(krk-`AL*iW8mmj6 zv{Uv6WK6msf$kxAlc)gVHv@Xc>rIe?1l0m^&e3Q@)+|}$HwkGOcuY~Vc%NIwfTd~j zC6WP&KpVe#(C~(l=q>sM#^i82zretA5Q7m(2Fplr8 zctQ;Pg9RzG!Y`|g4u*n$Ply+UrH_tO9g;Z46P7omi5P5Hv>37}SF;YPlLlXsLI8n{Wqu(AF$X_#Wj({ky>H27gs1>n z36|iJEs=7Cfg;sETOCi2$5z9U)|V%upYA<+gwLb^_K0CIlh)WH3DL^2JTxuNW*|)G zV9ZKbzzQ#*&@&}Mp|~5hJZI@FEs!Zo>4n7(F2!`+WM;rJPFy+s4dX3!SxQtmwnvlt z96~M5%p@Q{Oe|-TMFDxpjDxIH3JZi4p9kp<%UI07xo}jwRs06ORu^ zG4h)jB9`H z&~;xA=NY$nf^m*Bp1!FvIJi02In{=&jyMaq@YOk_Y7iC))Hxa!!vTME%@K8*;aMAx zmn=ML$PBYVgVPDg!D31yqIez@V))cy+~f@9m_;9rIdJ?Q0kq~LISXq!YIwd7!I$Qu z1?YI>;IIQMXHI8(||1@5Z{5Cr@@MebS^!Nbl*nY(f9bu0;!SY|^Az(G1m%d-6DBpq}_;0$2jbckOSims8Sx~S5&99?(6;{=oFv45G1zi?=8^zGUb+HK7 zhv@5Ilz)=DeLKCo&1h%n&Yl;X@Pd(BNT~I3D@m2plbb)(>Bph2VSyZX1%HBGB* zdPjX^B!t#Ca?8#5X5Sy(;*SN2*+@+3%$qervP@Y;GBn46o%=G101`C?tMnxBJ9UVo|Qy@Q(q8N-b zB019tT;~gX!ozXIT}JquJ|xf~upRUyNRs|i__a(%%M!l85Ipp5D3w%{mQLZ8$j3;^ z%aGJ2{j!7P$NOVR>K&v+KDdEm#xIaw4d4tz1)&BCL=`1vWK@LGLFfpHerJOG1rGH8 z;kOVM5@rs=r7_|#aVME&!y%3^T%i?XXK;><(h#FxfJmoB3h8H44Ojf6(&G{e>`M)YOCwu#b{lZ8B=x+ zPfBxSI;Z(skI;c4qPijqnRKK$`c4_O=cQW|O$4o8ZITBV(A#NmbDs#>dj)iz-dKl?op!BG`nv`oG4o?GyB)*2U z9p&M01YcmsvOx_ zISgNeX=F^S@@<9kqMReag6UzAo+tT0 zdXOWJx#WBZADx$Qh#WM{#3f8)dLHK~(&_HZs4^_nBYs5rq)rLNFhyE{a9O%T>5Vz8 zf(A5&$N;?y;^m0(yoM@8OCSsYXFiW{N4^kF4B9vc>!c@bAyQ+vK5) zl{c70eFvNy_&`68mE;`@=>(61r+ro)x7%QPwwRv7gAiygaKxmBQjWNl$Ad0tphjR} zhi@xx7IH|Zs7{FH&-LB@LusU24EyoETRnXuG{_wOK984QRj=Gn+}tRgu|^z?(w97q z<}7LU7SB@{3OgTN+bA6a)dm_CKT$7-tJ=Iw$L{DPnrcO!Q~b3eIAOddU8Lwo ze+QJzG3741xPC|1S0S$|{*m4-_4%l`Bsxgmk;24>F(jUQl21zcnyR~nh9r_pLsFtz zT!}7`4Rv=a$gGGd*p%{;s64)(qKY;bebg5(hU7d5jSz_(I-nCkuGB;6R{oW8#TfGWA>SoEK|h5OwUm3gQoAq7w{T zy6LCOI;Av0W{^Yb@kgb^nSur>fgCs@bY@asQRLQ$f+eZm=1a?7sn7PJsLW`6y20Y6 zh{7={Jb!lw42ev_9-9-PY7PYXGlOxHCr}AAUfA!%ks}vFl{gcls{L+366<6*8DyK* z(AD1W*)wM0yVzXFLC)Tye*4`9bPKR<7!Tu##9(|P=Gs~<0Su6>PmddD2M3@fIxK0) zkCV{~4j8o~=l;1E8yN?}gu7;9_n6Vv-X@$_I}f}C6I-6KXj{CPjdro6V-cMonFDVD z-tDCsfJpetj+5`#jNAkjYz%7LkQ-thF5YxKP_&2bVF%U%@y)M0>FxuC0A@UUH{wvo z0>A%B{mW|Pr^|kEH4c*Wf3?!9#jChV1RB>urHqX6C4HA-9hvl+IMymlv3>d+K?Fq6 z%Q{6xiPr=$f3t;XR1X#PSW(huG*oIKDT+;1=u;620fm4wpn4Qn3S?#~m-^9M;%zF4Bra#XfVkay=nx% zjn6ky+Hw3{e9{Hsv$RFd2VzHjuI+H`YWC6G)D3MPKlnRzn@?C@X@^;n*KTG-xeJ6!H$RYyPew}K5pefS$)*GXK_!i^ZlXMvmbAH zvvct4LVfJ9#V2k#_}S1p_SC~C?>Xew&>hZ>1#h3$b!F&TXYa#SZ_GBP^>A2R+Y-^pR- z_egVHB=JHdeeWBr&%|DLd*p|6XD|5tq}N{&`9t#Ui!)PZzBPK}z3*7|g=sTij=tmG zKK>>14R=I8tuNu%nQvSX9nn9r>}%7GerxnM_g=Z|`e{eM)DcY%F8=1ES>Nk8d2ZIa zG4`g*Ixe{P>pklu#~j~r?c9H7-(kmW@7Q$j?OXoi#ecY|<7M61u;H0wFFfdt`cIa9 z_p#&Ncu?Qm$E@!^c-+>5Qu_al{_u`}{Q5!P)wk#Fyy2e~9<=q|R~Fop={dABc4|Aj zcSX-nJ5SkoXy*RKv)6Wh;?x;E51w}XoX)Qfyvh0TtmAieJ~VKG^|Q#EH*~%{U^x#z z^Og$^e#5|-J-@i`gzkg?<<$4)ew{nv*9Y^BACCQI#fhIg_~uhDUiP~MZ?zA8eB+hQ zlQZA?@*&aCU~KEIlfHAviJ{Dbrye|c(IFoWeKoV~+P58g$iHs&-**2YcMjY- z`ln<6dBq`r2;CLi{$lT&x+V`iXg+^O@8eze#$WX8T>19Tc70;w6Fs|nPxo)$O zXZM~{p6j}Q;6-!q9doYh+HtC@v1Qi0*tGkiTSK#~ow51Gq_S##8+#-}$aDA9l-qe_qmi(%B~* z_ULK5>{B+J{fon19n|CY%mvFPz2W|Yww`*;Ih~Wvynk}`40g`9CtW(&ZO^~x-S(uf zglBDi=P%#=_$24_FL2czXXiFP{6Y$;Y4muC3=T=sS7x zd+%Rpzh`~l=EGaFuAG-FvpPl^N{j2OnPc58& zca^c#A@h`3X$lXVNs%_D&*Pg%htw(-w?MLme%wM|U$nUPbIRCX-A3gfWN6xrn z_BYr^zj5TBA6S*W{;>-Vo7#CsYU}V#7o?}Y>5R`MzP0j#JyXwU`@%Ulo_68IQ_oxb zmF3^=yl~6ZHEqNF>mUBuf~j9^Ti-vD`Pe;EzyH83%WsXU6xBz`b@@!>~(_JOCeKTKb|@~9incy{ZLrd_=CsJqwhn0@DlOHMoL(KB9Na(C|~ z-#%)zZEycQ_brP~i_8lR=jSi`*feupbokx}mpwG?qf@&rk%ZM^78xdSUx!I zqwPo8n~q)n`)RA&%^eS3`^mRYyJpiHhyROx^2^h{Gw)dY#}|BZbXtDh?EFt2ymbDw zN7ub|_RnTsdi}INwf7EhUU}(@)Ae=sj)xz<>>bmOST}F>&&|ueHvQOnXD<201($7~ zZf!be`7bwIZcXoNe^39fMla7yzp(uS%O5@V@+YUST(@}1W9MIS-1JQQM`r)#+AFS{ z{;l>4cl`FjEAF5Ey-gPnKmOvUx~4z4?o#`|r+jMR^xv-gRQ`#RK9!sP$8{_1Cl`Kd z+w@oG@!>7YRvb5@Yu%u>HMQcCGt5mx_U|(*zBgm`y3a59pRcWWdB)uKZ2wcaD^Hs7 z?s;F#{_hP}u9$IN`*r+WdZXzySv&+I-Nw~igo%9Y)T zO%Kg}e#Od>?&IeDM0=rU<)hsvt^3&{FKk&A>OOVeBmFOaZq;$!?`r>LVrS2)_jE6u z_v>4C{&Llt?(^DzlXz*_svEmMzUlG)mm{lw+t4w3HP+1Q{zd!F_}+zUKG6MG`%CBSUBBj%?%!>Cxqt8In*Q#s^LAxlJ!#F=-T%Ap zFT<~{STo%Hhk3iRuWnegq5F@UURnO?Gi&bZ9&O(<+nuuJq3##j_v~;_Tl35AotyS% z-HX;d(Y>qv)r6Z_^K|#__E(49o7ViP`;|?u=03RQh3>uW?m6ybYhLbtwcX9S&#ZZ+ z+iiFA?u%>ocDwW3t?sThuc|JfLO>y)5Kssx1QY@a0fm4hapb$_9Cy)5Kssx1QY@a0fm4hapb$_9Cy)5Kssx1QY@afxl4% z)Ebg%4N0|zq*_B#ts$w_kW_0(sx>6l8j^pb9XVyTLO>y)5Kssx1QY@a0fm4hapb$_9Cy)5Kssx1QY@a z0fm4hapb$_9Cy) z5Kssx1QY@a0fm4hapb$_9Cy)5Kssx1QY@a0fm4ha zpb$_9Cy)5Kssx1QY@a0fm4hapb$_9Cy)5Kssx1QY@a0fm4hapb$_9{LLevc_r}Nc5-L3gM62jrE>}&!rIEy{rGaXT)t7* z*X!%4iLb>YELoZA%lmGl{8~--?P}!K${%t+A^d!KR)()H=ckv4%lXF}_VcObwyM9D z9zVXa#_GrTaB)BXq4`^U*9d4-`8P3U*ARPJ3c&Wvey23UdIe}M9(nO7A%H_XadW*?N^=i5u|qz*7q}e4j_@$KvYyS~xcTx1>A<8RN4*^W zDlj>G>ic?Lr=hKQm^7&6#;u?cN28W@z?+H7{^7aL0+apNP8zkNzXD8N_xT^i`11&25B52#x&I%TvgQT= literal 341712 zcmeFaU5q8kmERZn)t$GusJd4ef?NpV#wF&VL3-t;XaW*Z%Z?naAPQK5ZNm*43(C_C z0s{yTEXlww*4P~}I`1vxmJFpd`ZsjATie2Lsxn zb|DiW;4On1N}TOw`S*{=ydU*l-8D1%m{WD*tF~I6B&d$G>_1l{*LK zrZt~A$oyxjpDRD}aPa?r<~z9={*QOQXWqQCFpsRc=Ix#=< zneQDPeRgb$&sHY?Y?7Ei{Sf>keh2&({Jv+*XAl0wzxeET3?S}zKB*9rB=;Ve^l$yI ziajSJ2*3k_4GWK z#rlr0_b;38Ji0lFv-1c(OuM26;?-N8$aUGPdKhvJjsC6++;uTBC+l@>tS@3@FCut< z(sjjL3~KH3byc0kq#Ke8bJ-qq7NZaG?~4ZdX3E}|(mpKYLk)bWfe$rso(5c(*!x}d zGoAmT_aBA^d{@ohe_w0eTlT&Gz82mUR(3P!-rtZRKD80bbw7n8$Re^`_)IT5o#MT- zsNI>OY$o{4=tZ%Wz~^R^zIsTQo96r(lLI|=!(MSc_OgD+(DT}uI@`d)8qZ$`)7(tE zxKI4@Io2{=WJ_$9=WNfC9Diwm4aT2#y=U(>SzYK$(MzZ$ucK+qq49$Me;{=CQ z!i6EfYTc;%B#2X*#vJsS2j;}JoHf}j^MyCYLZ39&WAu1*2&RW51U4G9Pg?ig!Nzll z5+=O{R_B5^2Ea(=~dO*@GiM@9?ot_1M$> zoKBX8_7&>_#d%t!6eh`Pp1Tt&x}LDk!P?x+tRIWsLsgh8$q-L}K$^i-GEQ(Q z9s+kTJaBVVA!QtcY6H2O?9a%=|F|_dUOrmnll7Kg4EL?e=dsz3@mi0LDwDZ1_8&>_ z7bdes==|$sgtMTjh#Z)CRUAN1GYwG!8uR-LKW|o-i`n!0enMKzakiU5Q5m;v>dFkW z;Vi0hJ(m=YrkA=ILNW~3svp6^dQ#^$^NT9NZRH>dXu zL#qfs6DmNdhDw(4WS&fk^P|0I^Q0v;GZVMiGxTVB_1w&Albglbuo?I)9k&dbvb%&M zrcCB;UeciGcSUm|lps(Q`azvG=`f$P?aUNqy5EXajo(_cXZN#(;^#q$ncY`ImLNo%-c7?SNKYP^eDy^7QTl*y^rIEd?6k} zkpg~za!$vhSa#ijKi%2cG3M~FPutl!Jp9qq8(V$+Z2yR)S6+$fpB&}?^@AJc@OG7d zVLiwH^x!LSzX&!ZID+f5NiZKg_|b6*E-L%qj`ZzqWAy9c!Oma3gx;X05suUl#=P{> zi(J5OJo>*s{^I<{w1wcv9BplF-7>#v{&I+)<2;W9k?kx0&!dlLM{gMOn~z>Hhx0hP zzVhJ5NU(Jo2|oJpQy=;6pEuty56#V%+|5Jtb1heBVQ!Up%~E)!C(D@msQJ{OaO5+^ zSO50S;v>JIfiP*4zquk2r@y#%J6okJLvuoJzWM9F-XkRS(aYN}_wjZA{`S$%cYgAn zpY*t*xNqpgYb};#PoCTYdWt_7s*!$id6o6}H9yzj^`$SNs}3Z=;XQM&kFWdpx6Mxa zg>-qXNdjO)0%O)Ch`CqS`8u}{4&$&h_jk6B9s_;)(f#e6r|&*}w~w#;ZTs|TAK#&~ z-MO)>4n_SOBwz8OK+g;yiU~TzJ-8m$dxqD{;e063T9{%} zMmDbk!_vh(_^!-(RuP42 zFiY;`9>WDCkC?S#uaw4$uV-TCp$KxrHnmJsH9L;EpocX-zfr>3ks3}Z>XzRWZBf(m z^K$4K;!N9dr&LGlj$yAlObCc`oTdVQjZQoN1a|+Jk+%J*y#$- zT2t57s`nX7=ORroHPgW~od$+dZfYD)?_6Gv5T`28*f@2mrQ$UiUFf3G-U->G1L@fi zgr0Wkxi`Z^eF4}R+75GnVS~TQgFF{zj{cKW;zK`6X7icJ35*mG4oLY8(^S2%;c3g9c4|%OhGuu#?vs|J zNt3iq<4~ko94JHX7PCZ2CTZ|a1=nGRj=CB;HW`K;P6bO$5#v1&p0$Z3QYj`0tY^FTcsL*L_|OR2(kP3XNbt*?}s5V2i9 z*{i)GdSuerwwTzQNbL)6XS=>FxMt~xkW?8qz3u)WIS=fZ`--<*c>{^yoXuQCRs7Jn zkZ(i?sIpNbIzKXFPke0Vlh*sfr~{30;b8V1llMjH%*4|aB8zPp0%tn9pr*7~+fk@7 zO-Zyt9}NlCpjVSMH5xhh=uwZm$bb6u z<$K#l+g-V$mMy!p^VVDc^q0nOogMzuii$YoqP8VL(&fpA7ePZ@WOqweRSX4 zxKUL%Zv2~nb7Nlq$3;gGIh^lqZ6U!E3SB~!;A0@=JEDY0@>K#{=yfQ5@2xNfBEH|ou^N?=~kDNAXiaG+h6(0U2```{P?wZ zF`bWg?moV|ENNYwJ3s86+kkxeB1a{u`{ zhm&Dv4$W7-a>v|>nlJ9r;mppR$9K+{Bah$M1}qmxTUzr16wXC1Cb&I@zxTot(Y zqY|bZykoFb$PsP$2^u-`!BA$5?&3r4rgl>wO3=;Aqd3oBO{@{>5}=X=6~Dwz(7>P} zvnLu`CIm=tOSFV7?c0V)oL4QG(Ce1DgptYNqt|ERw%IOAqE(L>O~fRkDXp&CjMxb7EYU0IFfQ;J|1C}nD$13{&Y2=r#dl4+WFB;YMy=JL$&7PoYy zB7jwTUfPkJh~A8I7yOmegrK@{gjJ|RoaWUar~F!~R#MB%kG$a(N9}p-)*Q@aHwvK3 z)aZk$nI$PvmoOhp`qm3Hy_5J5fK!wgyn*{3EzN9uVTWnblEos< zW_qgh*3NR%CT7p}97Bae1Z4nibdpI3C~`Jbi)u7Mg34DFKE18PMD>2SYfI#^qzk{6 zZm|o@WVAaBb&s5RT^$1I3mVWovA|J*^MP0Im03)O6(wO9mCcBE*Nds2IfjCT=cTIB zXL+AqH%g!Jj43@JJ*YZU5gwB%6veZy;FFDiUxmo|PGS~F9d5~Enx8RXQKywn$sZb( z^o-u&_>Cnb>8K>P!!F%~CTKg=Gs1`a8$LM}^s9@&VQ0BKh`*@O`@TuSNLNv2LFSNd zSLw8KVokV*RYlLf1rdOOGTuI7bkU*D^}HD`7U4=7Q?-e)+>$IgT2qGcKc)-Qrus9nOii8tCC#l z^&)BQ!p`TkPE+T@X&k6RL#Ys}J1Anu3zF`UxHh>^0%bAgi!VL;Wz!ijd}2iuez8q{mk1vhaU6yf8|DpI@9CwC(VZIsHIn1t>p+f-3nXM za(*3zIj2>m9|*WCmuX$#glA7+HV}?d_0ot3aexNF7z$Aw+Xv)xeXU0~!Y5PGF8yT6 zM#g1?7j#|NgH3!qg^|XGZ=}+`WX*({DYFv1|W`C zb$YSZ5;dpLt0v7rB!i}iEaZV@=`e~!30u8(nmYY*Yiy3PP@Q)+q)Xtuf!2bJ4QlWi zw)m~Unq(DOh4xHZu|{*m3^bNrSU1aUHn;GwHIC{|&rkz}XC|7fq8~fuj}iq+V+_}L ztRR*Zp$>!)uQMT+x_D=!HE%Ah;E*QiK9hC5l?xEa8 z`2*;dyHrY{U&C~X>ypm!oT@<8T~V3?w2Sc83|VDA;O*})=bcXjqAU|; zOFV6dcE;+>$PArDh{c9WGl@FMl8&~cINNKBIyKc?b*YdUmePTw0I0V~tu6)IB85;& zt-~@!*Nq87ZlDqj@XRgbht41!AdVH{d~N8mqdST#_w#^|(ezpy=%suH}lFTX6jVXQQ5k+5}o3X6RW( zcIv|H=OvP2LyEUB4nL%iY8yv*3CT%5;<&rW^@#8>y9k__} z@|+xirwC)GfcI)suOPZG*3@7GXe0QFri3gKIDGxqfpj0@xS`e76BUO2h?mcV^aDIs z1evJ#{=_r%ro%MLEKiPnU@(G!_ST!c#`sQu9@Y(y9avx5&~Un@U?cDfSowhK;tCgX z082xr3G-aS5-^YTX-!IGG{VOYqo=04m|jmCY=#xpw=W2RBOt zM^5sC0QjO6z^MbvYg=Rh+OB~^7n-%ad9B-FT$J1C4_)Z9KAvzTjuv9y->3VXD9@88NC^(S~Dl$Q0aqyg>ojPQ=NhMW6 zgZ5;BC^&t~x5loJn;|Tf2%X=@@$b?0%eQ{Q6OHi)OMKP8|65{~Im|leRgPHN$_?-L zi0T1U@JP_1TNS3e(k4!nf713eTdXCT|Bj>SHAL`gmtbf z3BLaIP6En&wi7AfmTAs zcba{TdU|v8=#eph<*ytb{q)y<`fIAtul;0)mWyz>eTWA1djfy`4!3y;CarA3xf1Nq z3wEBIEy3UT8)U-e`n;^9$6cs{%}mcBfuHE^wEgaG{&5 zQ%J@ufrr{BKK^1(F1DprFU^#Bt(y}!BSG!7no$?z3Fz&}6A5P^W=;uQ8z#r@C~eG- zA6{-LO^~{v^Q8&k$N>s)$T<(8-dWwvd(4?W-*jReh9YGk@f{ZR%XOhzKlgg?%sS0- zMkml4=ovLm@+ml8x#6nV8P76I=rcqryRLfhs@O#enY44m)Esq^!HVf9r$Eai13PVD zF?a3QT5J?YL>%dSp~x{05>P;1<-Gs~PNroZjX7%S!twwHj2>5qJj&NW)dx`DvIi~1|n;k))LB5f|*(5(Y1(Rlro$SnK}90eZiS4o0mc4)c6R%UaFRS#8I-z`b{#ni>N>H{LX?9`~iu2vApM$^(``9Xq)r zhak`Cv@-%zGF%>@wVjj{V=TV_T%aj|ns@J5NIBh)=Q_EXz+yd*DcjaIvDA4idM#Mx zd01X6qBacxhck5_Dde`QC#!N>E-g!_oj0?Zex)8N8I13qJ><4f#ZQI(Y9@c|2S~@^p34P{GX=;=cXty(0N?qicqzkyR6U`Cx0u-)zZUyxQkyKn zs>X1i?zQubdQEAnw4r|U#a^DM$3ai~*I2DuHtiy&v>+FIX(+1=u901P7D#~3yq}Lz zL`4CJP`Y_K-%naBcwI+rS+~K5jbm}>!pvI;Cpc(5&9x}bwDbdV7~0?BMrecQ;CvQ% z5N;9BU8ah`BPfZHaJwDIUvE+#~#QCpq@BlT4B4D(Fx)45qKjwGQl?Oqq zSUd9Wz+`zl+DrF*n!?YKM!A--{3dW+jG7rkftIT{3Joa?f6;gHE8|dsLugNI-Bs#I zXfeW3r)l?cH302r6J2AdpFes?VvKXdlX1wr81onrXcJw6&V&&35fi!v>4{}DH@VTn z&6YIrIuE+<Q{!;j^s1 zyj2v%XDRXy-#6-asP)MFD@}NXv3p71F&XI}|M&|p++8q3GAGVb$*&$sb?Y%)xf{P@WH;{cKnz zzWpa?fyZADJ*?|Ky=5DHtxWA1 z&XYhg`fvGfoh;*082ee^=*`D}J5Y!IE7=rdxp?ro7G68AXNh+G%UQ**0+PVo>FRi< z1Q&7K+FE^+dl9YdAgztu!{1}ZwO|66n1Vr6_xX~29x$ZRU|)MZO1Cg{{1~hwWPPCb zhuy@DIKj(+gD@`VbYU!?QVMru?kO$5QNmlUSX_9mB&M3$x=q$d{C+}Xny$Fsr*9Yp zca9l5lPQ8sox3025@yTLS|Hr%g1(~8&Ks2{!SxZ$EmQS z(MPo`;mV}$+6*dY=3bxQGXM6{csU&UF#lr`GxXYvC0cK>d7vnli=(WG;5vW9J5>iz z%2m#?$hE-ejU?x}C~mOZ z#;Y3Jyuk!%_hqUvOD7+1e*GX%{5~s{%Yv7aMs;neZL*(WQUul`QA#`dH6bkf^74?| zKnt6(bgCw4>~tbUPccSqy6bYTFyy0NZK-EXdD`N+v2wPkoty%VZ2~RmI1YWEIj)rw z)70=drXEhKl6UQh0clNpsGsq?D3wfYicoY{)fKxW#jY&G(N%~^hZWb71q%(ST?rP{ zWx<|CC?sVDU|eiNFl7x$KFUGzh->6VLE|DbJ{AZQh9TUR{ zS&S<%EI|lOYeybKp_){g7MEi!k)BnumHkB=&MjYwi-oBe=zN7gsTPX^-t(#eeq4-< zEh##oXIGhVS+Q7PI;*ehN|j z4TdfklPnvvObpt^WLN2f3DcLD^BfMMI2VeFcH|js%SK~#GnV9fbvI8dYH2oIlPjKe z!)~D)WLb^CP3aPTVP^ZhgJ-Ok%qauu863_nUWpTvIxfAcZpRb0p5ieCw`$gyEK(MR zo5YNb-JP4fDQvaBpU^{R$&}`Gi@HEH32nrSf45JQoyFk-5m;FZeDS3zD{SS@x_FQ8 z{ICM|v~;7Cb2%vW-w6m^>TF5xeCEN~i818@4i{xN_JR`r%mwM@LJnt&pm!x?@6u%t z=ug7{Zk~Hxhv8b3&vGz_Z~4g;O&A$NJUDI@eAbZWLX5=5<2L3Xx4}9P#x=}~tPLX& ziCl)g;>TPtS_uT9{d*-%?FsLQ47W(Q=VGysU?nUYZ>v>$t54@nX z`b$Hxal++6tU$M=S!-{+N@hZeR~wJw(ch;z*X4j=n+t4YRb+7q#at6S)p5eEoXR!_1V3eiy*_Sh^*y zj%N9r!y)Kum^-kkkxII6b`%OXaRr_eRL34{2=IoL_I0Y1W{AA!z@73#*%Om{njoY) z+zqfBv2!DuTaP;m`B~Fhk1PgMs}Jq2DdyIgCO6p_qd_W2l_zDj+SsY>ctb~Axy4No zeR1e9!iF|e;25;w{h5#}dgaSQyXz`gc$3#)_!Vi+#BIz&6(^2E++c6=9xOl{&|>pN z%(J-EP~Z)vz?ep-)hna^KtD||R`e!*{U4lj9!>9(YLa3)YfhXvA_hOhaGjA)JaH9ixjX(=bDQ-j?lx0qj zAnf38ee{<_@s?yf_g-n&1r3ZE)IHl%J$WC-us@t(lqCt;PUa2%G!$0jwz8WueV=-b z5ZUwA2Qk#{j$eW%Cy zcj5cz{=(?Z#8OGuSbi*No#9y$eEsX&hwqI9>!p7X;h_KUrlTvJu(Y6`-lzd!Vk@=y z>+RL$cuwzf62w9z!QFLfpQ~(E#@_tyM@;ik7gy2}|HyZXRlHwe%icW?KlM}JWex4{ zt2e`9u;(iF)Xdx4>hXu3~#=<_2zo~`ofo%fVaQbSL!iNwC&3;Ki%qg z@Cm~~=zx(fjtcJ-ckh1loo~K#EbsM1k>K9Fr(4S%d_r%-34T8=y;Iz|^Uda)&GQz? zz4sW4hDkyXGh_MN0Noz10rC?(EEpDV2K>0iW69PUxV7+laK4IEXVI)ko-w4^O5NqH zty#Mpp3u*F39gIuexJ6MzelZ^4FPq0^2;WgD=z)*I#4oa3I3Iw4Gx<*Ro50&V_fiS z?6hc~i>{HQmjJva;48+K9~QCDGqy$^u#{r;d`H=P`2)?fI@a?XZ%j#6%`zo4&8E(nTrrpi zU4ZQ%gm^DFo@cCPes+j?S5HGM#YJd&V1p<%FQ4oANKf#1io~OLQuU+Oy!~^XW>R5* zWlO~9JFQ- zHfh_}biT%y0VG+AK7SB9)UCMa#g9fL?|tWlv{>axR?!&x!tuJm!brakGBeK7-LfGX zA38_;ngk=y8`r*>x?IobIr7o@Lhk4)$nztN=vlzl!9DKKG8)OR17aiF4OUIAhnc)~ zoq|r=Q~Ma}A2#wlTf~vgFSFWZhyeQvPj`db{Tj&{g>3w5fwi_>v0ThXV?8C|0Rb1D zKc%4Tpy1wQPf58R)WTUBKSMbH?1$T-S zfAu@`ch)$ZAJks5+ST^)W3APT^Yim_Tze{IO9JetxZCaX7tjynm*n(nb@W7}?|csH z@tVM0=|=i$70t%$l;HG=tepgN)2!Kn%|jD*wQY>~GpFY?4-K~S8EMaizJGuHX~fZ! zkA3X%;nCsQtXRRy`s}Mf+?@aH99r+af*td*kD0^!*DAq^`{^Op?6Tr}9oBcXUB}0; ztZR(f&YC@$egfl9V2&Y9li(Q1Ml@L0ckkTYKwcbir;;$V-U-8N^5NC>~)C3*~;J%%_hYW;bsq(ucg16@KC z2{xeKh+QhO8V^fL1|L`+pJ7y_XykPGU7O+3vO*#1a{|vn7=1{^^KM>Z*@$}{cgmc2$S7$1G_a9K zu{DCS9N%q99zKTb3={|DUa8J{iFfWckX_OdvFnUP9w((*e88U_j zKW6E^LINM$i=DnhpGTRKXc>aTg~m4$ymoCD%7Bm7wX$Bmp0k$o5VAy+>)IeNODz0o zLucxiqDz;SEEH~2^{si@D#^OJLcp^Z54U3=j2A9ESgeR-zV?+5fa1Lp`HDai7$S|g z3h+B0?D@%?sgbxFn458977PM=r88_L3IS$u@+P3**uxEC4=T| zCTZ9N+OR6y?u+6%;O(>Wu37Ol6@HueOAj8U@=aCAe~Hk&wbN<39H%mz!Qs?|D(@^wtI;R>Nm*=S$ z?&#edz4Dvg%lGXYgBv$)Jh(iI=cLpJwNktweuDeF67XHD`N&DBPCQ5Go(^PR&F;Pt zzpK6b#&-9mEttAp%W;yOFgkZ9mc%ssDscb4o?9L1_@QeAe+6Dq0yKVI5?r}A$FGdp znTsQ6c8)z!xI#siq*oSzhj&^5@ww0O<@w{oYmi_mN*8d!x42Uym(^Xt)#Ohvwp@r= zTz;dbiw9(M!t^zynnYB7E$tbDdB`V5`t{&q08i;B`f^AP*sCi!a=xU?{y?WuMxobJ z{4x?V*k%0Gz;UbnB#~R9+GS`hfco7sY848O0v)J* z#xe7ph+tWj7>#Z$V;$3n8+|Rg2JtBb@148SjIYBA1I>tmI!VJvY(7Z`14xwD*H*9L z5i0yd=?DkC_|8@A3_yP9yGx34BO&fwA!?~In40tD0CTd;Mq^wXFX!Qz25HtbW9qN3 zmGeL>dRW=DKT(|t=*KBBn2^fFu7%N-k8SNBr67uOmy4IVs66$_k3B*smz701j{v~*&J6-)JoTh z!JG|P;fD3VE^Voj)S_bxOk3!P#dsY# zhB#HsTTz}0-bXaP{)~wTzl<+9-qq3_F_dL8D?P|1#b3r}iZA9V9|y!0QhmA!ba15et>-|XWn6f1Y=VEXbYW(-I)Cg9 zbJ`Me_NSsX$+(Q?#1l%~PqE%}H^+)6ovSgEU$%~Q{R-t~KV9HEKGY8JTvoj}8vOIY zlk5eCQ2g)Xg+%mRYr6hhHYFocK?3-xmy1I)7)x1mFA0eACl62vC&Yz24(dK0ByJk~ zk=GTWc*)N+qX}by^^5)eyz^^)d5R`Z;{8>N`u#QAN zri~Y4!uJZPE}}fqy{}zZ2H>PzRC($Q$Ks%F*OH!CmLnK|P_71OIkCaTIIiM3N(t$0 zs1)Ig;ATg#?o*w&6@SqA1Qov}(wUX6&Ew0_ER597iN{=58#9gMg&0k(}hk{;F zNuY2aj~jSBuLQc-jrp58F+T2A)$dx>`@ffc7}?DIdiBR#-9jOXtefSYVrvP(jUb7`W^bMRK$&#P(h!=&CArFRf=y4-V;4H%hJn=ssruEoZy zaH!*2?N=!=6b3H^xouC!6ycRadloT)m!X+AYQ##v#Nu8JXvFw077|0V13?ztla6o4 zRuv2B^oQ`bBugdSr9ky+=;2d*N`z&!p4%XSq_81H>#{{#d!95|&1_k&Nf^MjeftLl zEWlkBTu_<|3ZOonef=^6w*078~g@#;SYQp7%L_%fWTsagk70xDNHWF`9w;!4PkM*iVRd!rfP&Fc>1`v zB^3HE?aZXFYwwV3m_Z6tY+RZgmlShFnoGO2X}Aa_HG5dEBuRt)GNg(C@3^RTopVi= z7K5m%ou^&KGLaEtG}y2AER8ZR8d=bsc@=)zvnZU?BpzqOh!yBOAxSGkA?Yj?W!(B` zz1Eh*%w>7jl4u>DvP3G8V@V^~ZqQzT-Jqi@16#^kGoOa6ZY_7(Bzc?Kx}{nNm`1}U zD|VY^V%oep2>mCcuZH5gT&YM?XV;iqDk!^GHON@svb&bUPqK`)1%*!=mpO0!?rhH9 z!>RE;(5ol%vtLepogSE} zXKw_ArZ~UH6UEVB*USbBuMLQ!_0;pYobS-(tjW9HoGjHk&&%Y%))Va-#s$yAi@Yl9 zdQuJtO&exJsGPUr4eiGQC9`N!gY}g}tz53`NX5QmZ0pb!tP!>YXIS&F+hk_naN2BQ zU2F0ws-Nwe+D(%MWNE&essf2iS~5CQHkjW{$KXOwEf>I11y4mBF+B_Hz!!OD4&axX zrWo^`cQKk6qtla3%Z7Rl0(fs}3UZP?tE^XJhBZ_@kIVU3xQ!=kWyd3wvCMQ}4`$Y+ zT>RtG8LopWV?P|OUSjNoA-YlOL@Ovbm3d9W%>0ud|M+)aJUZem zo(=fXH~+6d(IbzrDy|AU=J$UeEk?brWqt(%cs`F3fc6g_{LYI;rvVB2LD(FZ;Kx6H z@E}n9JLSkA`|=-bpZH>V`|g*&_TumS?9Zw)`nvz_&Rt%=>inH2Yu}hZ`Qe*E?RqVK z^vX8-4|iXrUqJ`T^XAc)|KLQHJMORjPA9=1+x{Q6nJ4jtB%T1Y_Kmr=pB5bP-UA6Z z)al9{VffH&x7yT~htwNy!XFaTWsg6^ZyuUI3zaFpdh_OYle*D7g_E0f9#edWUg*50 z4Gxm*lE5RBtv9z`eHHsuS9<`CV!Ho%;OW-Wt?#|V)v$fE{qDOz`NT`dz4b zc(Zd9byQ~F&`t-Mc>wE8@X7(39Jp5Px%A6rVw?O2IB_Ah8 z2g~b>g6`Yj`;Y#BI`3@#*3;km-JkiHpZVU=JE4`a;)9RVwffPM*Iql$?HS`IBsipZ z9hV?{(?S935;V^w@ z6Fb>3@rQQ>K=;P+x1eBIYVogouMVd1Q*WuYgXb)`Dy8nUQd$)i90kq+Nq06#e&*O# z6x4MVY*NCU45b#xB^7vJIZPZVMrwj*6$+~}95Em^NY`W+3P^om&L_mv#=#~wH2Q$M z6*tGP<~Na>lWg@Fc--yR=q)$^?W&v*5n>ZgqK{*;vBfsCRabvY{$#~N(|77$u+MqI zR?}@rX<5x5XuQ%wDDEvytiK{wEY1wsfo02J-a2aWK<>(v+7#nFZ7@M<*oxI$y#!#) z%3Y?N*PTNi5|6Qw*WSkTJZjj4DCSwf zO7kF!B$lo|ph0{b>;#=o7DfnB1oGbTec+fsSipb>F!h2J9;h#EA#hz;YqST=JT02G zPSfO|ozB~=nG6$MNQO2cf7`4PUn#i1C=MJYyM-CMU4tDQvPZY$q`KI)WtVZ|CT-;) z8a6)jWD}j2tH84X$MZTim>xS*jD2ixx4}sx0Al+L5PiP zXGeTYavcTxkPee(*kT}4idobVA3SxP7^lUx+xmg2_tW|y*>`!S%cjZmndoao%v*&n z1Nm{Hso7;iyw*A6==ZI05TvffRd)kiaLYDna?Yl5i=^4lIPm-cfp#4YG@l{DbT18L z&*J2^WQ)c)?1nxK6O?K%0S``|5f(*;wLTdx+ObuS zgOT+fx39cHfSXpfHM^s6Zf6MWs(id#bXr1-J`i2toKCc0Vs_Au%mS;uLHRACTbkx4 zcr`9>(uOBGd!sT<3p1HEZSImt*D_dL0?urxx0QK1?riRQYHoUAmT$J-4iRJiQD?1V z#oznm4~*zvQW6fK8y(e^y|R4q#rnS=JW$5EZri7v3A=OjxSM^ivEys+zx0>>=#PFP z6Q19_d+)xhS$w3p%y;N#S@d7{!lOr$Kxg7a3HZYO6bV#DS$^Xi2E~Uqg5gR6vwe6{ z<~8vZJWGNXAN}Kd#l3C_=Vj)ruim{&6;JT{+F!eS=M$fJ{RNV~CSGs;`j@u0v1a%V zo&B-yAKk}lem0N_r+0gjgZFec$JL^02OUbl- zU)JuECy&i7)-F7Ga#kix=zqp4i^Dg+^d@H`9Uk7j`%bZQ?pNq%aT0#sC2ID;x9U50 z%nvUk!AJk@|95z}^`)(?CtMeI?z~f&!zYIwtE+i*W#8rS?1Kgqy+GPA+fSaf?OCj( z1D(3`>Z`YJzx(-jKQFo;{!m8il_3mFF5GdIR}zHtoRGkr^X?uAKKhNng9Nu41W+r1H@Q?4HzUQq;4QLBTzgO*e;j5Ah$o3emuVFVm;wWiaurOYp!eim~2r^)O*l8 z2?*n`0!~hZV7A&mw)E9b?J{;H%Rz4|Vu4M6vn}$mccSyRoE$$@TjMSe*((%4)!YEf zGKFI_8|hb$EOUjQ!O}wi4rPbVGm#sPb$}V27*adO}t94iY~p{o3U}*Ze?~cU8|<57Nl=dG^bLC z>P%qdS#V$>he1s^@x~2{1-4l=;q;#cc%PCEegHv8x5dK7baw#W)A1rjG3+U9Pto=i zXBOTkP~4c=qDxG1V9JGCn7xT}nd7vcdJIuw77Z@xyOY`~9SPmsDn0TL1&@9sMZL%) zMZQMSmvx%&Xh)}=2vehn6Y7==ew#io-hoA(YmG-tZ}8()>x601hA67jD3o+Ic%8%K z_Koq?zIG8n$LL=Z1f9{T?5E_HJ9|Jd2{I{LnwCfnWO7leiV; zpa1qn>Bd}4vEp}H=;6Q2dh};}7uxDE?N@I+`1{NBJ3V?m-I$9h`h2JR)>sn<7DH(D z*9L4%(R;Xf=bUxe1j-JQK5#{UeU?F1yUZTvo5d0*nKmE@xi-IlRtcUppWBe;y@_mU zXEUY}-A1t|(>Icd^i6yme_EUdkoJ3s%WGp)LrF zjpm@FbgnRhl?s#^W09_>2xR9vE~Kg;g=B@q`8RKL2sg)+-jFI-SFH<}^PS7koX_FC zPdz>#?qv=WleOYmHD=N-zG{Irf@cge>hZEIwqqCprQ~Tp7V0rc3@c=fr^RD0&`CJz zJ;9y|Wy_)z$i2LTeLZ_$9BMfLey~JxtFWxMCEqYIsY5ss23VEeW3I>7H{btOLE=pN z!3yN|2AP&aJTKU1Ww7TdezfeS&%nkjkCU?rE<~G6#o(YP9P1H_dwUV_+Og2uSPU^3w4<7H^COmivqVxurDy(dk<@ON|lmUngq z{?SjJo%UhMzXuxF3EyyjkQKSK1Uw*kPvpGZTSMME4wKb1;h7{!o~HtO>&rXj=X=A| zFEV;2@yTRU^ONV3fP15R*3u1c{qBdigU`$%ede4oBLaNx8;}3aGV=;`P6;8~G09J|de1Tbf)d;X z>Cd0M)?Ap%@QX@gU25PhEyoXmyUziX7xjfpFgu0h zqVCbxAonqIjGx_UHu+tv%r;68-OX~=Q{KDqlmn&1C)90MhCRKkYnN@-TkOX8_}3%O zZiv%Gdj@Q*P2UgbhgPi`T2?Q|w-%RGi;8~$V2^(H@h`IP6mXOseITRa5obch)qkh0oPBR~@`r}MT$UMSvr706 zkMj4nz!Tj6P$iqApNSGYx0QH;|0NN8t=j&AXrFH-?j=h*gY5pZFM~dVV~4)LizjQA=o5g#S^1k2wj@RS3?Nb-WDc-Mm zZz8>4<*mv&u7g#|hoKKZ18rAke{DpYwU2!O0v)rOKLG3TgQ)a}WqzoE4>jfm3ZpJtzAQ9j>8e^tAH>dE&Vt`-k)RUL6O0;CcMn zDVf(_H`-Iu&qKLoqC%_K68-Oa;Df61s@zrRY*pK{lP*m8Al?98DAY3%G^DE z>^RNO;CNB5JR{qO=npmUp$0zGz=s-mzcj$D!K0@%qEuNB)RR`3h zYfMfHM#?iEH)*~kwfBc3b4Zizl`3|%9AFwfTzw71v70s^=9_ito4A{WInm6mT)+l5 zILlcYu{1PI{N&p$Q}uDR`hnFpYtYyE40k$k17{qy zoMzTc`6_x&pDE2GcaS+zI8sZ;8MW$S`g5Z?9tjJ7AAbp6ye>ju)A8|$Iw5 zeNL_A13?kmH=_;I$^z|6>5TS}_7P{*2L#lHP4YNlc|Kg^1G7KdpJ~&)H16CUNRTP; zNr>r(6U1OIDl^L2-Kq!MR;jBw!H7M(*8aF@?9b|1J-3UrPArOO6GotPXb;>#Dq|lh ztx9JDG(R7VOk@0nxc5C@mamfusOZ}x6(0NVXkqen4h ztg&a@OPgWlcBy-iF?s~~8e=%DcQZF+m-2j^G9;vKe=mgtN16>yNGmCD*oLZ%w&-q!aCRUN-q zcyOcpZXqxU+I?HW%9u5O+R;By5-BSVYm|W_95zbe6tYK~^kT5{mqdPHQci6P~$-n$tUYO(1@ACbx_kUSEgVT|Bc3wGRbMe1%_)>^3C5UHX|2M#K z9;e2C$dSLmE3aH6!PBQ(VS}+=f+J4ge#NXLIF{AD@R9n?*7%kdiRyP;?BnA;QG_VkRZz>f#GO2 zNr3<4VhOgkZu|&MQu;~)a_+QGPe^`5QPADF`{2QySMIz*0SNpG*Y_q~|me4#jp+q)2-~Q%1 z-+bq-7e4ke6^b(Mb5?hhu8Y6^`r+Zrw_d%i^!xXBcAh?c{8(S$D;_}y%m+^2eCM4b zc8%}x92|D^PVw~Vul&lZum0@MzNQ+Qm+#%Z+dg@Eq#f)PXS4I|=6lWedI@OG`)#|D zKyglaUrKO9n{Tn>x_sr25F`+%=6m0JVk!oY2vLHqt=qSM7U(6o!}0V_cX|m#N&cf( zUwy53_uW|X@mgb^rRGdH=0%=`8en@7{a&%U}NTQUY-(3M4Rrfev;< zf~~F3vuipdb765i@Roq(uZNtwk-j^ggOG)yL0`AaSs-Y*!G%H2v``iNv|pQU7@BR& z2+O?Fbi(3VuaFD;m0$c?3@=*uG zh7Dp&%sBMnSP&GHn#}q0Nr>Re7Km-E#4*l*A2rSAiA@d?Gbs~qQo=@g+^*#aYEk6Q zP=Z3iwYcg*x?Y(VhR>UofWc?9OArwAH05xuGAo<9 zfw-tEXNI;mmI-a1i$`Mf{d`Zydnw-1XKHNZ15U3UI7>Y!gUutyGbFOv)SH7cx7K*d z@wlZzLGFWCUBzW4G}M6~X)|fT%xs>OoZ@N54LHn(QhOO3Fej!~BOWg3Y{Y}iH`&zA zVo#utWuDUKV==JE#D7o`lZ)#mp(74yUboQh>(S!`iqICe;)2^k>slxh{U_0knh$ zyrFIzFjQU|(=-!pt!RTapXdr;ctLu$VpgXEs*rDt3lDnAkeZqVI$7Kj5m>Zyi@wD6t`1n`~l-2S|*_I;jgMC*|= zo{5i)--l@A-9EimqFTo;*y2R7){i+i!0tmf6z4`947M8tmB}Vlps?tjL+T`;w&=v7 zGO3L9CTU5j3E($|%L&7nCL1ObwHx%wAWO#t+ZhhR4`_bp3 z9=zn({X?_2m!!%AUMZEq?$_N=dAPHvMr`LgZ$ih%=QwFdl!eP;IOl9@x`3Hm5Y#d~ zaEp*+Qb#4FYgWe$#3xweW@HL9@6jM+ z_yez&@=S+7?E7@Ue*Ahy0;mRQaFvE`8MG(&$XauuoQH1p9 zl#Nr;On%_fN^LRP7f+6DHxo~y&uBIYW1t19W;}F$lnxKZDcX{ikF-3TG(LqfuxQ70 z!VoXSVKKK33Q8F{(5A}9P3A|gs?~#1mEpV+7P<^+r(~Qe+9nMTIe19Jg~A{jq!K=9 z`KhPCa%PhxQU7Sj2W92RViu{!TyfBy&#{^RoaBmZ5Ro2SIEa&CYPOVa2F2T)+YMj& z#Df2ld~-9n>$diTj-G1?x|obhsA9i@>DxCSnHwhTTVP_`2b#CxRLAE7q7?lDb#>u2 zQ)g~VK(l214mqWW!-8(#Hjm|lS%UTm6MdXf8*|&#w~1}vYSRDn5vPA29X)-@d0kK1 z_JtSj-`9y_hez+e8|LHU41D1yU-(H38FTC1r%z?YUqyngElOCE;PuB9R?n^1ihGiP zlr;&$GlC#ND6x|u{Z9Iwl>}Q`hllKN5Nw}dagd}h-M6-Oj_z|*($?1f`&E`=wus65MNEyVXme6U;gZutIwY zC<_T*cq^PW77Feq_~^q=edN1;-hAVuCR)J5hj!b1Nsl|NuGw;RhIX{EdwTQL`OP-@ z4e_eYO|!*WV;|?NF;^((UGoc!l>e>0+g63?Ij?MJLr%k0fC06ICEMA=p>ADk1re7H zHZu5k-g&xp$i5UuJ5kTF&0cu@e#n6%|H$0m;taQ*ldNp|BK;<&%eMah_kZw%PkiF& z{tNeC2N^kER)V5KYn6(-T_G0FT5VFl}-X!#-~Lw9!`LJvL*qx_3hiA{`4n4 zLFcO;|DczE?nABABe`nGdJ>lW&Et2E-hJz>H@80e(QkiSbl>`;Z~c+{6$Kht>Od)+ zz$dFZ{40L@S6=-U`CCU%BNCThzjNn~Bmjt?gjIfX@BY0PUU+kBIQ)BmPjtKySjdkQ zvf7DkNWcYgx&-FwGM`4()@s<)pVhGHjD}0ybaTraD~-^`*zm`Et#BcU2_b#SG9|Jl zY1|)hQ=40FHC_TOziG(Dqj|qeYz!}r#v1Bzv1gb+5C)Rybs>gslT@1b>F)&ifMHJ4 zgJ=?6wrnA!Gj{{H;OhR>a3f@LKv^o;27GkHL-}x8hy*@Y{cA{l&_s=Y42D<{f~<6i z7v%6AmBY6Zz>1&)<|V!l2S1jyj+zn?Bl$VX;#?Ty8RGM*13%`NBj{8#e(p6d2twMa zG7%F^4ZSnFLJ$^&oW8R^14a&6T#SVD7`9=CAJCo||1jUB$>v~Zu3;1$lM)YjX0%Z_ z6fnrgCYy3)^3DpI0=!29&3N7t1Tb8w(!h*|^W3;`Qe>gzK`LvZPD$erewb2L4_abP z&DeSlx%K2_dgQR03anJ#N9yM73?__)wIgDTMJFa}nR_Qb^(p3WX?ACB+P&1!V}S7# zw(Z_%kH(da;>pHSd0baoQ`%5$qLJR@)L}3qknHbG2u~pP#CAg_lk;lJkt#k1%oY>9 zz%CcGkm@oSjO;<(>g^S|W{oJPBMzB`Xi5%dxJ6DYwtg}@XpApS1BJNB$e7s>VG>K< zCy2=yOn!8Of|y%MH{=#*zJ<;c@=7TaLg8A$GqKp4ukpqUDmb10G<2rGc_xUJQAK)nJ2wfCeV?0ZiGN5H)j7I`yTS zdS`NEQQxv7s34`VL~p<-WUm}E#oXn9pxtq@U(L#ckUr+Xdm6wI5hOlYsCJ2Gyzz8q zKd^&oW2T;0`3Kf>DXZhEaA2CUHTP`5M3iS>4S5g~Axd3E4KxUiNu8IBWJqIc+BUPa z3nJ5M!3IWU8&Ict%B&%)ZJAGp)Mt^p-C<=pxNMZUvQ4qbWZzIY1*ndR9p#leR$AE5 zcj*c09D+tpOLrb4QJW<7V!&mE>6OojB_{6(m!osA!9o@}$%MQBDw*srG~#oEC|ap# z)Yc0_Hi@G6#2q9F;nA!*kzVaqgGs5A!pdrI!E0}xEiF84Rt?MAn*f!u!M7EyhOnMk z<>N_WOs8hqa8hPf>g_PgCVn^%Co?UoYFxp|*kaxtTZJ7&(Y%T$i*j}k+fbY@^K$Ex1YFX#%U(%})a{VE&I+3T+zwhOxmXN@fmpwP>4 zy&s=cwwzlA^|Ig;r&T)L#-Qy5-8yk7ZDAZW;-E594VskaMJeejvkkf?fU#@wXjSMJ zbx6b+5=zFj{lex8YovhwC_LrsVOI&W00CpAC}AFmT@YwtuoUHOvLnY5BtH;pz#bYqu$Da{+y)I{Z4lBzyC$ia zym^hf1{SWXhXF=dL3!60LmC*8AM_w#B`Rtgq888qG^__25MT{NU}?xNy{0%2$rkVa z{wFf4>V9?iP$ODe&8f=xIC0{{iI0qo6A>Ay6bjPU9*2zQ4zuv+=44sn%gr3yJ3pa| zr?@AY9TG${F`Dcc{7dQ~!z8ik24}rFq|c)^Hxlk-`z^6K^2Q*OnGsPOYci%{DF8u&1iLr6W3&E%+TRxGXelZA&5+v~ZbLXX$Ex7@G6@CyM2?Dsl7i}vDESR7r&O_rECGe}J$Rh!V!(KKhml{;Yfov-nW zVIw}k?**4U%NM?QZ&?VtHyLZzQrM$7IKDOZnDD#* zVKPea18)tk>mw%p(`$FX_fsKz%U$@0`Qp!Bb8eqQ%%oCCGw54mdnv>Ly&o===Sp0- zW`$ciU4qK4a8O`-CcEe@<)S}aS{(3|n*13 zPE@UsdoUz7lu;egwxT%cCtF3G{aCJUv*rjJcLmtO)jsq%bh(znaV=TvL`R$8 z$Ji^dh-Aa`WZOE)ICb1th+cUf1AgOG_=w5qIbt%Na`G^4bJeP>sujiWE1DkV=0ZPZ zfa$4m%^XuOYmcg-*fc5j8pErYvZak-K{)dEp&gqyg5_ckjzK#u5dFbd6u)HYDH)F~ zz&~3v1YP52X)5zWVi!s@5(A9LN#E9-%`JZ{WiCQ}=nFo)D>1b-7E8Li!AZ=T@T@v%SP7)H z0i;%Y?4UF>LQ%oi=p+qkO!1XHPeAOzMKWT25^?#`lPDDhX5rQBJe$@KGhbLhYajgT zP0B)u*i(0&pTKJ*&ypg}I!uD9W{JMCUZ9>Kg9bJ0Db+q*&v~2@LLJiVTvP*+Alz_E zyIUq&;j8LGT<5Fl3Lfhz4WX*0)d{LiAcAHsLYh_e>aaShP8i(Nr&UM>}K@VXxS) zpdxb=`w=>Bs5GXVQ5!R)lrk|xbw+NENU79m*=E1HhVTEV559?fXjB_k3R%iLkB)H~F?K>1F6p`N!aT_AO>93=Wdzo>g; z@a_;MKytKqcC^+rvg!&hYPBpEYm|_+r=)28(lc4tE;~3;or?piRca@Clhyx>`>J+5 z&5PI`rv0kGRHNXpOdXpSLmtUNpR<@JN@7J>q~o>?;>eEUE*VK>CJIT%It$yi$pH01 zJe3?_y@ktfkVtt>P7`;+!seZ#5p&O$WDgqCw?zvlC(PWjxiZp<%#Tt4c5$*=$I0wK zC>Iq{N98!Wi0#1zw=_zEh-)xWLl;RfJ2DQ1I&lTCHJ5B=ujX_cHaeAJ>bYrsqyUx@ zFsR3(8MsMgx}|2#4gq6auz4jmhqRa|(r`Q>Cd_28#uJGW7jSV?yB3QwvMe6iGAln> zW;M^L6H=MerxddJ$S(zzRE(w(231aCm3~3sT>1~|OBMA(Q&Nn!(s&h$s_1FL2|PCx zMN<^6!fq!8B~^%{3SSA4V4Ik?(WD6+f`HcuumtB$QAH6BH;7A%4si<&E81vRWddVWq=QP{0NOg)XQhyW^G{H(3 zB~KB6V5UY3nN25;FS~>;rOzRXu zSC0j-MyxhNmO>g3ScO;p37D&-PBfsH)5e@c7Xw>!YX|##&iXClTTg-fu}tUg4r_U> z*>?#6j3V1FG_tweD`)U`gxaH%cl2vYUvuzUix}6N@-+XA2mCZ+k5~3<_Y@89XWVCG zKRiwMWe=+uxSn_EYtOy#2e0(~2R?8aM^WD*&O<8X-lv^=^G#tMEy2iL_5}%UB{A5O zz&)SR2VS|)3fXV`##Iu${t_*M<%QQ?MPie{nEaPCh7wl!k0qXWElQ{x3j@znL}-;*Zo1pDXH-Ynw(&8p$Yl5U}w?|dYw zo7wKwoxbo3Pff4?ymNo#Z2ggy$@l-xkFkuulE(HZCBzSg}Sn+~vX;UhCNM0GTekG1ZQR%(gi(@~Zc~1fr5Z$3HIt zYl&%Mcb};0>qxMJt0b7an<9F&1nhi$LsYC#8Xt@y2N_Sk2LBfxeu29P_=x++|K?~y zfcdvE&gO9l!^&l&b=rOU8#hM(C_xUrT7ovU$!IiY1-|{RNRXJrbQ4Ttjp6z2pc$P) z>$l=cJO}isG_7F5JUwIWrG*AeXP4;otDts9sntdG@@p>94(RUyn0fi7mOc+JPKs-Iq z7->f`WEgNOgdW_YnO9zxErXWky4qlSkO@VR`597C6|{XUG^G@Jp+n`ncvNlh+o;92 z6=gnr~oukVJn;1k@(ROT8(W)6iBdS z6`1i5VRIVZDk9d=JRZh_Ocy1B^nS)e;^(SZd+!gtxFt)Pm8@p>y_&K_=8I`? zr7f;%MUo0{R>jm$A@C^95q4g4V4?CvR#OYCa_|BzRY1L3d+ZO~k>^_19WxT!`Gf`@ zna>1XuA_nY0M7SQPrK>7o2&zkvZY#oG^=_ zor@&Ylb;b_F+jquHb*2D$T0;?f6)eC9n-c}t|EV%$$Eie*#}Q$y0EMk1#vubvn)l4 z6%!gzL^E8kNUC)S{jppf6p3o0wenvfx$O0Shtf2(}K*wu>7QLx=?`DqaZpF#25_Y zBHA{xM8s7G1z5FNpehoG(>*&z3NCJcw63TtMx;s7y*m=Ar01~^99IZ8o3Fa{##Ly4 z;60DQ7@$KZJHpT17#EWxmMk4uGmZsCXKnL%RDM=yY`V>S zkpju4v}UI^^kp;mYv>8(-dTIL39pl@9M4S;pbPKAP6w&oHmvlj=)v%?h=IDKEU{@FG( zv?=s7xIWWCAMhjb@$r<`p-U><8Y1nWURv#wh5>10u<*#5C`ktd2=Jp2R&z(aq{36v zRA0fA9(@)ImGgjOlhn!8DC^8mLXe6jH7DDHU&%G|uJkzY_p*{)vUm!+3YYlqU`N`>oI#m*r6gCG20 z>mKxX+$moL)8P3HR1pTx57Vo@ZiSHn>Vl9(Efpj>bLIWPp}xMHBui( z-{SxLt^4>Cyy$P!rin}a`L z57g%+c=P_PTVMR*oA;${JW7Je_3PJp?QZ%!iA=8Ffc=Z^>FEuw>9l#4;^3u1^k8@Y z7K}`Rz2WXm!Lt!jc;u34Nesaf&fxU)GoN{Y<}I~GVY0=IUBbM0s?@~gyaX?vlR&I; zN^lu|l0fdA1i6sTOW@p95{RoL&=1|1cQ(y2-I;oGMl{pd$;J^X_0_0F|Ppo)`n&3?Y@<{rHC28wJBd5Je*(TXYcJ+K%%|MS4k zH|oec2^988eW&cft%s+y2lwEOZwhz01lnMGi3BwGJvb-9o6q07w+p?&9$@+4Z**BA zmBDZF%IN9oPh9U$d3AIPW8Og-mfQ5k>6ah;`>_lLLcfh5$6kDSf8|$Rw>0HeXipI?RlE; zAX1k}mr8#PR{B&Pq!LSX<(wu6bzq;S>pahCb@40XP>0&18L?;;*RdnEU-(Eo0F8<9 z%f>OFuI5W=BRpgZg#@D0f0r25Qjf73;{2cmHNZfbeNDC$16gcT#4LdMay(Jzk%C*S z7tkpNa`Wsck;4_y9>ur>(ab$#Su@){TW0Yt3Ev~V;3P5bMSlT5AePzI;_Co41zow$ z@-01A@mK4vHGQOFzy!u)qAT!Y6ytfcnOiY=v@s;uLckSSE#dhKpP&a{FPbf=5X_H5 zC2iiiZx{$k+nRbE&Vts!!rg)$ueR+BUAgQ_#)%;^>RPi#*}GV^bB2(4*sl`wu^?&B zAcFz7^JyME+mp1*KzO#7yFgmhRZtyB#1y3t7T{@gla`?ohmvq~4MSutBlo;%+LKtA z_mDGKbs;R#l#W!>K^qxJMr+rWiE83kfi1-K!Myb(=NQR`!^JABobTDA#AHwD`ou`s zS{(wriY&+Z$=s8!fcwBqjW`poqCRBcbmF=|N&$pb1!E4ej+K?827o9Tgi)9@VGWB6 zl(i^VQEH$Xyvr=W$`F)|N7zv1M&Ei+f@!ue zDQ6XJr8mFIVqPp35e|_Mt%4oERge=~nj0m9XeEg?ZgZ@xhO#G$4v%UjRx~*)22-Bf z!+EL~RegQfmJwzASWJ#r&G8|=14Ln^f6|1)vvB7%if0V$jy<<$muMqK9@o>Q>#9yA z(@=I(Pg)aNeCXMj8mQ(uDytRs)FX`d<+Ar~$)P^Z!?0acf%n6zFrBl|t=2$>HEj@> zRUt({Ysco4Vla=LXZWuHs#H|OYFP{(nQkbV^+8?e(V08K!nOhu zvq2P8lZ#Nzb4A1q(p^-x^~9@=XH*YL5tYdCI%x0|tP3?O$CRGy*fpV4*&jFS%C6DQ z6BU+M4UV8>L-zENj&e~aOpe;1S~Kuw1`^De5R^C(Wwuo+V~ioqa5C_H#as1 z&Gb;2h#SJ$UK=UHiUnz6%o^T*6o)BWm+^~Y+JxA%cT(Y%kJUsRn#oLlg|j^+(pps% zENUZkm`vJ@G?LhI>v-}yg;KR25C!{y{huec4>Wg}2nz9}f`a;-@>>36Qf`*GVV;3rslVP9)Y4YHXyDO@{k#RS)M;4q&;Q4%uE95zQNd%mab zKmh0Zu+U?H@PWgu@Set4!ULF0swz@)q|i-Vbk(891hZ-?Cwp^%xlwpjxOUn`;z2pJ zH#c19Qpgkxy}<|etQZGBtsr#OOz*=gg~_497u{jy{DvnE=bIhTj;hLU;LuE|&Ksx!Iw%Tmp-?p3 zSYgvog2L%{Tz$e`p1x_}z)WC*!WDhs#aD5F-J1J{neldZeC(DkRYhkhtk!{+!`@rq z$DH=yV!yzSTKQiX@LRjPBHSsaVm$pA4p*k2*nb(|<`3L`v>57B9tn@*@VIdIk-LxN z@BCxJSpj?u#g*aXGmi7(xM5dJu7}z?k32FHwxFxhA26LWlEwAYr2NuxDVGw4VR;$UBD1?xaNX!(01iAAO~Uq)qwdS(M2 z{Vw8Cnu-<6@RNbU7V(0! z`RO`MItqzF35BOiH1szn4nm+|OjmUCOR&@jg~^bQ8Dc;6_bw5>?Y*4zmmLJ6(Kg`ORlo_u&4#`N_HX#np|dS665^O7y4!+qjh z>@+B;)2v#sVHMFkMuE&FSV>qZSX=Ot%|+eW%EdZh7)WwTMv{*x8VSjSDpLF;c;LE| z4Pk!B7h&tRmrjsxv&3L95#D-nuiPQ>tX-f*27EcwG**G1cpKQ@B*{mCMT;eM5lZJ# zj&Nom6>_hmMA!+Qv24KP@EBTC5y`O@wFI;>rsSi!FE@DcvUfd|xP`I*QJDhC%8BYd z_G?c8pp?(MP$5m|14=rWeJJFScmo)Z(X+L4-DfYWD-Mx&5a#s(MW?f&8ewCMGCk`t zxrH6aWfT^}g}tZ&GWJ|dMJ*#kG>f*7n}d9TmhI(;WJB==esG0yt*-T+6w`}}^C_@U1a2cXwZ)9N)Rx2N7LlX0d-4IM8 z3k7Z{Mqo{iMs+Oj$*Sm;7_0b)Q)-U(g(hKynEX9%T^$~=@6?j9<*vAT*##tu#oq{1 zz!5azvIPZ+z-(ov=hj*mf%GQe%6Y0+$II2K@cq$RZ`+hP1_=d3*K6mBC1lPScZ=}& z1DAJ^2CDTXJ$^h0MF2A)IdS{R1b?UJ@`3{WIFD6|1KY?4<8msWj| z=StS>lwOmIqTpeHelSv6x##*n><47X_8yHP-g;^XH~X5-!?|l1{7=8)+*{7wxt48m zihrOV?N*pO&Rw$*;wy&1NHN;(T+GowkZ0@k^s{#z$z27fr{03DT~q54oWA|`+oz}B zc+T9rooW`Ajd2ak=HJiFvhR@%&d=UOf=7t(_Pz6QIGmO9S|1qt?R(iiOS3P**^s|{ zKCPAAy8Zc|({+_}_g>O|V|E+=qtkEYm6Ln_=!RDG{@}IOe(M(}r~mL`DTBF3$=P1U zi=k%{k_7I?y?<=inUISfmHfDNBMDrE^w+PS{!bUvc#H(oyHDSkUUxkw@YLMgoK5RK z=6=yqR6o(<*BqF`ek#$S_lKXYcstgZ5~ z8dy(*`{nVhpCQFw5y!m9+2pZ-pS|6$p7`PtBqyo>-W8n_NWu4 z0IjJS+lwVaD9H2pJ^y(L##KK{=Z#xmc<~PF&;#7OJ-R59zM`hz4GDHUv+MNxzVG|a zuJ)0E)6+jZPv>q5pI7b1u)W>GBg*V?m+&5PuMGCE<~)7&*=NtL_L&40qhQr|3rH~T z`Wl&^K(F%_@D!lJLAk>y=G*{f_cMxnFzH^)@ zI*l48W0-{ALX%y}^$u35zX1|)cj@PH!lWWb3Y%>nJPRTLUBpT~SV;YMqbFAjX}C4PBIBE3lGq zm3L9&$54leR?ZuhC?#Nek{%!?{P;=+k!l~RX&WtANj1zUpwV@V#XOVcRpo2fh@jy0 zN6lylC9^G4#ovP58te4fl0L&eg)qC23Bs>^;UzF*CGy_$lRHzb7~XCC(o={`;1e$n z!a_x)OCW-aBHM@doZrGM=d2TPC$iU9D4i;8khFF)LeE*0oo0ips$!;KFEiT$9ZAhr z{wqqs#Xg=019K|4r`#0R3pEr0M2vKKos8t8gFyLL4yByl9X7@hmW3G5uL$0@784!pqk&ur}xxv<&vYGZWD;OFn6=5g`5^J-p)Hu+V(V!YN=d-;kgKbyd*3B?kIa#6Q#j8L1(Yz+&{9K> zpv=dSU|pt?I^$jJ4Je@#7fG8<<+Q3(cB#xV-U7{lQ^h1`>AJQk4&4!LK}l&WP#+;w z;NV@%FuVkiXBj+E3%h5jyUZ&WZzQ555X8aEwxjgJDTij7Kbbk9*lvy<1^~v!V|n zO>>O}3x%^{GSNoyBT>tmt`eh$05jx}&fS>fDKod)xf}df+L?T7!eqyx6Z);E+zaRI zJA>a{Q#ei=4huk1k8pey@p!Dt!+2#7bTksj9 z|MjQ8w+GH3@#rkgTW@6L`V+q*E@xqs;QDowfZec9f0K{J40O_bB!LF!iffwXDVteM zGdjzu|8;(6cJbwKbcoYi+ID$#zl|#? zUw>V4XoBMOea*8?uC}wx-gx@y(_hmWvd-PVe_z_H!7Yv})!C==e6qit40*xGU&TNI z_x0(`o9>(h_mO0jfQcP=u%C5ntN)@Y8{K(6_+NIWLr?wFCHH*Ij@cV%*FBtXaLR4%?kck3uTN*L zhyJNS=f247jnfP5Pe(2MCcS~*s+97=U$y?)n?4OL=cS6Np z-%o$>i?7mpN7zZ=`rIPNkiT4Z6-*y!*MnHA;Ay!&W^YMX7#M_lY<2v>YmB{ecqf&S zRJ}YtF7b)ld}hNY7R1&QLI9QhP|NTIp#<6irNo!!lTp|eb`#a|M-00N<&N8bx>(zv zB4Y+b8(hRFkur?lSVnln(VOiZ@mc&OzJiq5z!WvuJs89ku8CXX=(@cmwD6`dJr#GL zF^Db$zaQ2aY9CXbjmsIFRn9ofxE@6 zQjiVg>OH{KnZFWQ46P*yKgL0(zk3Vql?)lhfyLWQks1l6imzK}_K2%=edIK0Si z3rj&o?S?jz*M{*KC~r%JO(3b&vVb1HUT+7q^FR@WS7`-Wgm>K#TdsWQve9nl8<;2p zr4%X`6X@Q#=on*Hv1PNYP0JaE)@4vb(DtqfW!Wg;P}qiCZ6Sz(;q|6&B!toL5ROcF z*bntGId*+VC3%dO5L)I+2b4N8Q2EGhLlPNsrpBW7hU6}A-gcryA^M1aiDOw|Z}xTi{<4|7`lqG2CAhPQz(LCaI-3?GAb&3 zfH*oT7USHkocUb?uuK5Nt1bvv#b-EOFrOY zw`~N=LaVKdf;o=Thj4(QN@JhmN^bqcy8+3Grnr!w%f}eiAsx!bHA2*5O%svq+BF&TO z`8rSwiWx}3YbK{jnzVBzcmS7k>xt((Q#nb|^76r)eJ4p_8MKuJD4CvG>)qcf&~TEL z)>-upxI*A`wiXQUy-te_Z&mahLjd0UBzw4byuBdHX;yp=3o#R=&OYZkM-0YIXVsK4_ zw1!6YwdQW%0I;I2W@RWdhPC)OWgi@9!qqY;L0pF-6b6g_v!8N~Ks8d#8(!ExqR9{J zXa$X&gwv>ZkkHX}XHbR)bZy5v`usd_3$Cg}i5A}b6``yJ`ou|iVCH=Qu{mC{qmTQq z@S(?Fz>%^REwd00kgY)#wP7lGa)Ok%zDlpL=}2XAC$zi=70e!{PT!vDfHLvh)2)BRuit)q{lyPIaBG&pU%mAJ z^dEfnmizLvH*fyZH}2lO|KOHe-+ka-MIpI%_a3jq?x_s}i%+9H|AT*!PpZ->Nh6pN zb4CIdFCVxoC3t&1O7MZ_vIN)e-o1P42_(>p;4l0FUBkokYjdM4e<60aEFZ^Lr%vVX zc4W$HZ|gg=muNWmzV~O{z0ZHZ-T3eina!-mr$2q|+Uy(d#!r2UHS_0rkyhV_tFwCY)&JRKZ8!4(syP@|FySo-sD5D7iz(o1RwZ(mH=CDN=k2^ zkw92pqrE7>ORw`L^nFgM`a9U1yoCg;lqa6wnm0(i7t4zHN2U&~fAEWyV4Mw@e2VvE zpRqS^Z^))Tzrwq^dzk#@?MTrkV&-{*m%~%npZT5y{k4G}yEX1iQT#b!yA=UGe}g%j zn_tRju^HQc<8D4F`-}b06*2!a9myHkmjD6IN^pkqyW(NC>%qU@U!B)%;dd2G0_S&W zK1Kpx#qN-v+q>v_V9cFBTg2E{E;f}jX8za{?&A^9!=sL8q6-97Jls~e=BC+rVlAEb zPzD~zIi4ea)#b;Xc9$8b57!{ico$+!LIq}LNbuW)O*Hvq72y*r&%mHs2IKO zs;XtUsR20r8ZZX5tk}vWWg5XV_-#0}HD`@jIYvH7%E7X7doCnXzG!}(Hz8E?ZWaq( zdn!=wIq7v=i?Qg^PwM$$bWANksJ*p<$hy3eR~ygYPsv!Bl&;d^SGeHu`PN&sGSn2i zt9x2^G=dZKO2-~@i8=+-5t)Id<&B6vI*8~r3kjJvoiAbGr5H-vc;9AG3~3U>d~S$U`8NxtZ}abbN!OAVdzb> zIl*UeHl>u2ol7<-?LDPmfh%F|sR+Gu6RXZyq4sD56heolvho&DZ-eeY8kg<|1Pvu? z_sO#6^m^S1pS;a=GPP<}?lTPXP^JcwdVp2V+cMziLJ-bF2I(n-WyHserX@ZAZI22p z)du*|bWWB?6>E9}Us2_jon2-QVR1y|S{X&qoACBaV>n_gNwBAMH7{Zx);X{WK!Jov zEzktB2*FHc;*1OfHe0$^_yt5>8)mR`j4@u}9LEuHr-VsbdneT}t&daRAEtzx{lS@A zW`Uas75cqv_w_xaA!G;ggoLr;xa3jr(KfPZO^EFPWDJc9+!6K^n#E#$Fs&jL0hqz< zxyz|Uj{)N>_@TXySaFPT^x@W$sO~4jVv4nRiBJbcOu$U&nWi!F&d2fL`a}`%$r?)Y zL^0HDa{klIuX;CvPMg|^IARA|try@{uws<0o~T#F6wVVAu#3J(IB0A|;#9Sh<-s9m zt3lJ17H{1`h@3+?YlHd0d?Q?j`RF)n>xmxVY_J|0u)VU~NpT!35;lU-!A_jFVqtQPwyalH(<%|Vmrrxbc^uwThG75tXr&)Y8u z-ws;-&2lu&1NRYuKxC0;oGcI@Bt&d)!*}DGMIDz*G zCHU-TUwLIrUbZc*WBu8!O->W@*=KcRQ2x@aiUFF3w{G2*#v3ipK)KU-_0`i`EZ=Wg zT;cfPAO4NsxPJ3%>4_wONZ+_+mw=nFE!s*yB0;fBcjwYqPj9h&e?`Ju^lEkU<|IAP zDODdC*4VMz1c8;hbmiaC$~ii;&YBu+_wxIH&^!G29TFqGHb-_E@N zp@OFp_$`cU?)LqNpWmXN)baH@U%$it2DQflKJ+0z13We+O6zN<*V(tee@fMO`qUWX z&u2@5-#sS*6GP=G-37^y5^%uVE}eH*0`~>?$@0G4le;81NKPXh+5!>`;75n{m|S+x z?83hT*Ci5&%NYsw*gjeUlvU4=##PQ+ckJnZbpdSuoliWO^LV`TJfHkwqO{A9pEO1{ z@eGx`GYT_Tb2LhtDi>S>7g4ZRxGE>Ci#&eglvEN=6u^)cAY%(2SKRs{V`lw?5OW}Zg%Z0Hl8Rn4)d{_3oC)r3b8Gjgf zbHPXyxW#IW4_|mQMj%X~f)!UAQ12iLC&Jix0NXMlvrq~eCT4yEH_k>%hCu*}(_;Gw zd0r~QCrDf{kT@*N2D!FSnJbk2WD><4)wl%LYzamON%+jBt#b0FGLOMzXb=jFTo7CH zlUs@BETLO6G$aB3gv6XB4t4U>s^&eWt?Q9e+;pt3VkfQxS49;Y5qk`w&04YnQ7#59 zJqI2cPJY3fG+FaqiP}Sfu4(aDFru==jUQ{))vD^5>L5laf+7O)3A{zoCe6~tGEtGP ztW?@0=t%((v)CQmTBO|i2^-*nODDVrW7vbvg3Hxe>u4#M8R|^UQjiC`@(Ag@;*x(g zc)o44R9bLZo#-^I==9HwMl+F8X$93|(q-j3hpwO!m}*jlkg0KN*sZ&0fYrQGvQde; zriiNk;yB2MdjPp&s6xG*1Y}uqIU?+Ms)kjJsssTP$TUDbW$}Dk#`U6$()szLwgrW% zH4R)krOel0PGGLv36{&AqS8qR-e$C_*y18xpvI-zpLl!o!ZRx9S-&p8tVo%(sodV~ zgakP-U2~AL&X8SM1(wZn$&7(7Ji=BLEupW-1cK>DIV?M-3I%*;(!0niS7Zg9_tI7U z%AK}Jr?z*ic3x~40($jebQcO8pn$5F>ug^&m-YJiYKZM~nJ29TFiIHTZ2}ACRPfS0p;O>i9&Zsi`)icsb?KO0tq@=N;{9&HE>|**aJ-1ys1QN!b%+MM^2E z+dSm!NwDEJN3;u7%59HVd3uH#DerHEb( zfWWmVmnhCS4B}uyR7M?FlSi`eeGCYLb$sTI8 zn3Fjb>7Xomy@|>!0vA6p&;cj>*2F;CPO@@)7`|{7iNc932iSr^N6sF%)pXw)5oVjt zjO&Fh{adVjKW$;SIyv5w@d~zaY`2sS{|k-bU$Ah|xwd=jsY9uOU$GJP^Jry!T`ApN zzjn!Lwcc31cTYONA9~`lwD$J;^z=3Nvp*|V+N1q@_p|G)Y5Mpc>f4`xxLspq|M!E_ zTcY^MO!55f>+bolC6;g3TcW+V+47Ua66^y1 z!d!n#PpxkG&#p>9rK_-y*6p+0iLc)MBkW<9Ipwq!(dfv}OD{e52f}^;2oB!v`j@`? z)!#d#$1x#u?ZY1ySKv$;xDVXp3srod>hycR_xA12e_kov`_X$pDx4&koxbX_1j2B} z@}30ToCe5i*bn@yzQiS2u7Byd=g!x`PJ;4M30OZj39^>;e&c+%m4z<-6{LRVpX=V0 zPQC+a?Z4=X-uaIR$@BAl?niXiqFw{#}8iS9q zB)wkN7O1X;7k#p9+tIfQ5`>(ViVq5(<1oCoKn$;e^1!$1sCMHBwxsynqoo1xR7Kcvs8+tt1ua9Ayc1qCg&kP z^Q1^ysFrms90Y}n5Alwe?y_N)b=lyom@?o@Z}e*87Rg!E zhF^Vt2d)%!Pz=kN$vE%vsVsQxW6Vm{ydzUcksN~)tjIIxj7KBFI3%_Qufv|mqOr*a zBS;s8$!1TTk^9mcIb+wVvp^Z0>&u{(ae-FEk-kJcSGgH&L|biLffu2)KCN4*swjAa zx>DI7AKDE8D|WMkk7Pt8Mxt1(5|Lp46_*q=V}R&LwIw5wQ8faID{RLfHnpXtUYuFMWRds&O!rxtPRyq`zbc4 z+w!xHo#aTA9uQ>r^fNjGfj9(gioV^g+XSx8)KT2<<)(W=EafH9D}2ud&E;zPVB zG*%&;##>40tv2qY^fVc4mM=M$qK=@oPq}sKy`uee=Pl8wJdro$GH~mM&53lZNr-Cs z16_=Yy9HG#ALm`f07-PYyr!c{S8}alV1&~xN2bym3jTO8=D=zWkcS#xScXH}+q zV_haHrl`PZ3KH%cbvEo|NZ19lH5+Q11#LvFoOf*>pdvj135NrOF3TdcQ`*%5_0^&) zOVCQ)+X!F z&O!lZK(4Gs5NvOqzcT3D&0sIi`nyqkH+tjw)>9*ETrV_uu-os!fz7(KbN_ZrktyGj zHHPCfKTF!D?tV)62Qc@2jnx6{?KS#cbN~PSnmi+X;uCtimvJ(PH}AiyH)#b!M$LVN zam%Hfr1PW_Xap~boNFKaXR`-mlt8MzB+!0%>jsd)?0w=h?uR!g*AZObur=LjT&2v( zjLGe6m5SaUzyEt<{fV7op|cHzM&pXoZB9=Us8RR9c>&OO>*t>P{ogkUase8zF{78+ zl7O%Ox^ogBy>_4L9ct-XCc*7N0_+P(4~SP@`Pj!^c_jok7S`McwY%$XqtB+vznt8* zJ>CCu^52_%fAY~`kN4kv^O^rEInfK26!0^1{FDD?z5K1lA_=>PGL=ZUS1|5laDB>Q%zYUYD~dPUjTJ)xZglHeyyd;ZXe z-r%mTFOlH3wH>FgoqfoK({LXxdR*VV&b-*Ss&A3jF6Ge$@{=F@(+~dX=pXH`qpej%PpuHpTK;r;iA?%Qvizqvm5x!aT60@X6K@1#_}mfNHp2B$CT+f`@b_Ki{Y zv-m8*4)?icGD&~@(7uk9+#e&sS!fz0sP6x6zB{z8BI zqj^B0&*mYf^9;4{u|#3dVfC`kPgiti9+$EjaLEl&5{v<%5vIf4gX|eNcw#dE^9ptH zHNx?0@R3q}M{H=b1!%gdzo{eGP<}& zvL7P!oQv`SE=iEPe*{KSIJbVUhwaJ&J9ucAFW(q0t~<~xy(8o}_=e<&!Gl6D#?3zY zMZ3ceJlA9RHYXaTCt;UEP-e~WrL(12_Bm)cr(_O;Dx=K6Zwm9Yt z2`_$G=awlD?dXyuBha-xc83k%uFJ2$^R$HRdpf!t8WP{uYYZXMm?K$YC2WiEvTwpSukxv15^ zmTDX-8^->-G#NI77p${o)fd=4kiEGPnOlTf8-h^*D~8>$^Fv;hY1%rL>Uo@h8dy%@ ztx@`P#p(`UM=`WaNM%W8)l;y5wrrP`H)kU=NPZ?FYUd}3c|?39)w?+~p86-BY%9q< zXhKL5TZ+O}tufIIirP-iC%A*Y9E&jMh*L{`EdHcySz%e6OAUA%z?5EFkxUe1P3EWFC$ZlZ9bI2t(2 ztC>+8rj`*u98(TI+0a1Xc#xJ!9FI~vwG;_&Hr(i&zG?XU(P27>)5C_Q6{q+;vCf1a ztvL~m@E9Y?NDeoO$z*fT%vj}4)Y9ankd5({p6jq-|)le0Ax_!W%uS z5Q;$TS#z+NY~1D`V&ISAOn5U@IQVTi^+E=~^iAaxvisTLW-1E49elEZgVs**PwSk* zqCO-QzVzfa!NQ4C6q0}T5%MN@$}paeVH(!L;xo ze?!q;3>O7oY6>c@`EeQT8(PMJxiGVHxP}@_Bw{9DNTJ0~3LDx=JcND8JPvID9E}8j zL+;}g1mOWc|N3k=X-}1pO>EG(H#{Qa;ig_}n%KjUW)~ag021N84f6x=o5RiI2s_3O zc1S|_Dj-%s0$23K!3G6*(kALrb?4gTPAnV=924=a!l!4#d*`4i0+S2D^{H%%GEB=2 zH(?tlei{-nNTCVEA{2ZBdc)V74$7pPG%U(S;o|M`8`);-D@(&0+Gp^h65ZwD3gOF6 z7V~n7UChhFGylJ?=Fj}oN9FuK{OaSvoqHU`$S=^y^H$Avq0 z_j`97;sp+$x_0-A+whx1u-m2ZscXV{3(!M;df=wgFv_V`41{O*Bi{sFM20H^-AK>Z z+v}xw6YqTFa%I%R+B``E)5y>A;2KhI^+@buAj1Y zQ|(jj+bZF2#n(r4R@+N>bVuXLT&J7v?_J)0#!M}$XHK;vtWFc z7e-lr+T+lGOjusYq3&_9zF<`9nw8A1?z|9)vjx+53N{sjolDTE^L(EP)!ykhBjUJqgWjFTI*s# zijaL!f_+9H#GyNkN=zBf?T~a4!PcydN_k^V%z12$RApyS9950C-;!2eSil-nVIznG z#p^UPkipa^kpYyE7>v+LxOL@&@BEgDrm&sFG&mXT0h8no4 z#F7KN(1$Jmb|2)jAi7nID2=BTOULS2)v5X-w)a_GjBy)`gF>{j$~Z0foq@p{$+9C%oszC< zL;LPxM`X4?t40SuoK`4;3?iFDC?PSBt!oEN83o6F+OA{|Y|Gl2meiz2g9i4hz&5{dIe6G=PJ2ct}TeeYQjn$4|Q4qtAAZmpa@;zG0s95 zgtEJ!=q(UhUZby$mEMZ`gf_8eA#)udZjcfL;JM`3aBYDhNaUi`EMhtDV|4s5N1%tx z^*SP8SaD^TX3maCtn1NXE>zn1xXoh~h1Pe|H`7o}aSC+1(mc1o&0QK{h{VCQh$mbM zH-ly*_YR^t)zm~0O@e5?Y1C`ka+-W6i{lgZ0kg7ROkJh6S~ID7r_fFf9>0+(29X_u!7kcnTW>1?5fh2)e}3s=WGQBEOf)2-2|O`FBZmTWHs8x zN-g3kxn7Y?{A+`MIoQsfR9i1lGfc4ekS0b@a8LR8sLLH+m)r)PJi)Ruf3*|!JZgSuYLIK z53_gizulAIpFC;oS6|H%?5%Cxt9}y6wh~>%sppGOI*Zy3XH-M?5f|)9bQ8CpNKfsQ zEPT)2GuM4X0bf`8s=ewP)8(sT$9}V!bh^IL0*l^qaDtzI4ZW zJ{@_V{KXghUjDuOZiDqUc!uh<%8t*v&yKjO?a#5wDWEzpz(onhGq5j8aOciWg6(4C zE%({bUts$V5Etw6Pj39l6U49Fx2!zA{dd3pcVE2!pSESAHva_C_ed_0;Pg+nJ-{B} zIp-+BuU5ZWosr<@PCt2;w5vVc`_7#TSiX9Z~b!k z!5dwa_Y$yJ+z$*tfC!gpu2B$>dgkpx+;hL{&dmJrNj#q7ylBc*&Y5$a1+Fl4Wf;S) zK=Qz83>s|3$}xq=bVJaV^XNZsYpWxj$aIHSdJUsogJSL?jwmXw*ben!wJ;k70vdDh zN|5)A1UxSQ&x>k_@<$ATH*;$+7`O!dUIvEXkZqrHw8t&xfFfJl2NQ|M!aE7kWA-i@ z#gbVZQA(B6NMsM@*{uva=bh%QWN#tnacahxK1jg?CW1rs(0P~e5gWRJu}NtweBcVM z42B*(*k{EU{Sii9ZK2zeo{30t1430*EJYoKkXd5&T*F`%XD?P&CDfBayL8kUck#4{ zJ{QRlaSbEp_akHO2$3VxT!92cm#}+>IPQRz<+f{k5H9#06PQe8HZrb&AuY3NM#l-| zByen8e}=xmXX1527ceZW1dd4D${|t)L8DVCQU$yDY`unB?;x;9LqbEXi_L(sQ27J1 zRRYf&l&-?T-cn@Ow%448CH8Dx7lGuxQ`S&P{X9^k`T?Fo@qyLD*}Ps9R$WPWYR1+a zk@69sVl;?Eb@`Hc0V=S52w7YHn~{e0A1NEc^EFW6c_Lu53BNU0T@-R{=!<&PzJwim zD=V=?nalz}bb^;fRCYi}ojK8mvpLs{V)3pj$uTYH9YAcfpEYVTW8fRre4Cdu$t!eM zDqNMK`ygaH+9RN8nnmeC)Kpy=4^h@O@r212)3d4=qjRP@6X(g{Sej(i4HW}(FH-n? z)Op7({LtmO$esbBLg(8l*fxhS<*<&cco6EoI$$N!nwsqe&QTs`CJ6X6G3)p}cFFPo zJ*AlFj(fh>n`q{i_^NQK8&`WUjcRR0Pi)P)Ec`ipebb~e@I_@E=p1QuQ>ZtqRy9T|IF8<8 zG!QGo6F@YY#bm03r)KVe)8l8cv}PEc4}|8j))5LM(j?A3aEnE|Jf_=71RpPsyG1-! z@*4;o<(a#2oKFOKAVt}_N(}iI2W5+0^~{b5uS+g&Nb2DPZ{THG-asg=@+NNGH&p++ z>`$sbi1-~rH)!LpIMdRvb=f9=<`*yN;_k;>DQ(@|c||&Ur=7TOW#ygIqrm`AOIu%E z!v$q4j5EBs-idMZ=1)I6yZiLh?)9JeiSPgZF{U)bosR6-Lmg^{-G8X?fbOCZxcBaj zJHxxTW4tG}{f=^w;MZQ~8N1tYeO>|tnCEmA^UHq^;}i)vJxl&~3Sa(mRv~`-+vv}n z%*+YRx45qV@M`j-J6@v6x`xb(o$o`~bMBRjMpEJZq)yTL4qz+6`}vv_65LGJZ{JKe zZeNrjGrxa&i+x}|X5TC7FC+qB=XhoGlbd(l4<;JAd)ZCWbbif!WzCsV?4WHO_CI$z ztxCEzUy^#}cm9_DWw&%UlTv&6W|i1^OMmd`r*HqxGt(Xpc7D|uLt#K~=3@+%>I474 zsJy#5ylZ^^`@gx?c^6N6qi;ORXnQdj(Sl`tJ-b7WF%e|NkTEL|(cJ`RRG=_J(CKn1N$Q zdmpKi;VpCtZqkJW#g5BJXJk)kj7{xaS5fUp*Bh2u4Paz?0Tedg3nQ1&zCe5hB3yz0 z3r3&G9yO`;rM<|BITU^C@sH-c(uZs5`{=E`-uLRyJ zf$cn2c&{w}ij)9dew3)AeU;dc3%@GfWnr|x+u0dspho+l?StV^?XMuf{f!$x9?&9i~v*b3OYf(jMK%}|^Z6SuVz?KzXjj)oY$#F*uE&RWsvDdhKv8l%WiSK-6&O zPYOAAozcjcBaszt2muS5$3cWAmw*Ty7==w58Q6L+>>h9+T(g?5!ecqFh{WgQ_M$$@ zsYH_HD!Ak1JgKhPqF?HjkuqV2YJ6BlO#x!?e>8}I+yIYXKw&pGbdlpHI6Yv&xqdpN zBgUxM7}=I-F24MgqFe1+HvyMIRDE!+=&q_RCF6#POEOs>>w6qW?6kL1&m|vnno2qn zyG(j9V6`gQsQ!2Xblmrm-r0FP%nQ$E_X!_i);R?nEup$oEdn_8Z7L4y4DznmWyz@n zlT{H8)+P!j9kLgC>D^4Dia)a8T)E2=$5= zwZuCmvyuZwjM_#R$pvczhB+L$blhU4-a@!GVy?1ZvG*73hJ5QPnv8#i_Zam4LSt`8 z&;o}yC9v~*4TH^Ac{^_W^z{4x&xa3FdNkKD^c}s?PXd4N_UYS-d-@soncKJDzIJ;0 z)#uEA`i;9g3GSVK?Q7dFYL7`>YCpJj_w$zqT#iJ77v8?NmEh*hw<+l?fpg!udwHbq zCiW#j<#75hZv2bi(8l#AfMLh{6HtyM_g=gIji36d8>c_@Q>UlbuKm(434eO)#=Xhy z`=?t8e*DIdyB~d030})>draW5HtmMg-2*On?U$~Z1box#p1YME2HlyS{^(^6jhOkz z^5Q*-|1O^~zlH&Uz`lIvwtGe$A?F(y+!^fb)4u$|{Ydsa!n~%F zz@z&)k=#sY-*7k^?Zq3nPX8mn+mp{VXG1Rc-T!z0?!lj({>)?B0RrqK*gJ#cB3C4E z|B>I5N+1qD@*_{y#@I12oV9*2-Ln|=HDCVn?a8kLXG1Rc{mjq2+Y;#Hf@hz-J?X#R zfBo__FUR@;9cZEfcQA86V)?BTmp!D;SG3+R6!>90m7hPt1R1U%q%hmO7mRoT^EgCx zj$rgh#vo@Qn%C2qMKjVdklphb$vBc#$aex~u0ywr!R7zP(XWSvbfE{;k=aJ>uo zJwpwF_Kinud+F11AgmymDMLrI<-9U4QPf@%E;s_o_MBTBj$SV4ql)D=jv`3nVyLzq zYu>8dQu)EP#q2UUwzT}^C7B9S0vZ8@lywGfwIk^<>5iQ{6Pf>WxJ!tpbIJ>P^ z95aZAF5d9PRHbkd6s9meW*Tf^a?20f>|{EHmT#A5(~fY$7Lw29{HnpOcVfu4FK{4Fz^8dz-z;R9+!V41bfUoE7!NZ@RV|7uy6C zb_UQgR?a&g_prE&!HbP}_@x8JRoTQ!7c0Ni-RT5t%zaiRjj2p#tv3It8Wh2 z;bjqS0yQFxOwVB4?1ESJA8Yf&)2pj`)kHmG*7Y0&YrzuaTLLg`hle#{BbJb7vbMu4 zfwepKf?{GZ*khZp6=;Dck6Os%9@T!n=Vn%Ay&wH+W-Ni{ROS6~&bjBF`y(^&ue{gl zT-nqL>bn5doZ2$&#<`NDL3)0>OCHE4YX|$LW6E`izqlS9}Wfj|d zqD7syt8EnNHAHL5F7!413GiF~h>xon^=f+2+!p(bBW@nPT?sS!`H^oa2nRRSWKoz_ ze0okb>h3K#u3XMtH{vvEKE47}9SuYpP~u4q`yH5`KBF{PcU4~B>2E@jU_-F>lJ1&_TLAdjPu zg^-FyHhp?JjbqPaVvvVkpB>i2;iMlp8FUa!$zL+$1*5=BpX?|hhJYZ@@Cfk@=%)Mdi~ z_&pB4AehuZJ}|QVDA><3)nNxqPL!4HE2zk8Zu~jD{o1%?P;kVsU~fy#^`?KAtFO2O zFM)v+h1^vf44;RAKN=O)FgX0>65TU5k&y@PMimVOIEsN7*;M3ah=h02Ao6oLmqElq znX*TCgOCNz^}&tfOHq;xZP+Zy^ic+a^H@Ew2-e}NR zHJX$8>_o4f2VqU`ajF2D=zFqRBPg>>+z{~>nU|jp3@8E>t$P_u@Uo0@F>elhzYVi5D|7wf39$?e|xN|3c#r>l{n)-!z z?j&2hudM!%ajjM#enI}#>f0N?&izWTcez))65X$I0h|cc*;uWrF5b9!@zbA119zSV zRkf`_Rc$m_Rja=#se60RKQCMBw`E_wik=NT_Sok?zjMj$Joebdi*GM>-8Z#cwi$h; zL%M*~@yTwC1aTbHwYxhnz4V)Y)3qyiIsfTKxw`sWf9ut&?U&_nRI49W_-DWN3opoa z|843Ee&Cz`{cGmOV7FP{tM@K17VmnO&OYAO;6|DHg_kc~zSM?DcFM#nSMKiovwwEs z!nG?qJJR5mlLno!l4maUYuzQ^dMS6RD9>DaTiut0j=0KpBLMe3BG}G;?87&Jt>XU- zXN;*&{EYirg{05?#EU-|~HUMdRfKxB9;Cd+xcbtF-Ig z?*FmN8{uJLb#28r`6|D3X5F=GmoHs-{`mOLox3}GtCX{m=SA}0y7l7w{zt3N*FOAs zK7BrN-n2BhY8khCd-wLk#t;8Bb^`(0o&K&|NgDL~cX!V3=-k$|tdg;X- z^{F=+-2S-_Tz&sl@xA*|HQ;e_TN=3c0Fu;d5I-L6X(OEA;>8;`E?jtVr>bU^%;?+TlW;W)pw*wAY(cKfP(?n?-!MXM`xcFgny%QxbBM`?R;%OBgPS z$E%bSPkNc^yKG%ELeC@svuFC36ZKtjmH{R zv&{=B_5<(?@Z6VJNS!zNm ze(z{ilUk)7ZXohAU>j^~btYOh3~kkoJ1Z?8MTA z3Y5z|F?#2R8_^99s=+bTB-*S&S!uhupN)y0stg^Np%$R3$?$+~6R3jP&9u?AN+w`V zma5Ni5LEqKxpZ}ay0gv;p^;$J+Dwc=J<0Ynaxe7&XO@rDj6o0w(rV}OR^3h2=;toe z?WP<^6`;grK$w2(wiItX=eg+1N=Oo<&?yz&v`nE+)jf3Ca++~+Z?-=f+F?O;4ZbE1 z0wNkZ{w&m#9(Zl$F8@u@&*Uf?35Vk7O4vS&%pH23Cri2%OSb-tqY=k&#jsye9Fb|t zUVoq9iGEm?u`Cyd`*C=X==evXpXTVn5s?4~r!JqMFZN@viJ_sFfup=oG9OX*W6uvc zV2pFP;zB2<&p9+%HuSP&w!0jZqh%1C>Vf9VNak*FEXC2=;@F~_1T^SM$g)f^IOoaT zY@9FI|9-j8u**#{dYVy0iEbx}(GObE{~~E9F%Goa;^@QUf91hFH+{wIaqkK=yyxnkwnTF^?L zVA4Rc@g2Mcl!D6cJiiGCb8-zmH*S_^V0jj@F39yZjVeR726wQ@mOssO5etooXzQYQ z+OZX|#)4aZ9z4;_xYXdbMz;h5o@;3|HN6X5!U@~j>kx)>Az9mI(^wNtEql8+D;~em zO$*N>pwwjceyt1bpf|1OID)}IP%GW78P?F^6_9Kp`xUOc~d6M z0ICl%#Bbd2tlQ_{lcdyck~wM$U=xF0F%0_o!mGz3y_FABt~r-lWTo?2%3KPYoD`iF zC)Hq*<@g1GR-3|$1E?t1D&UZxPMpM&Mtp<{MbO2N_h2$8s5b>YK#mM+icC>uJ@%#@ zc=Cy5b12|6{!CAhs~!YZ8l^u@9lQdIREysH{drBngjP)3BmVM2ycL|ud0 zyj@uHc;BMQVMXgpZr9078J~0YeD2_>A_~R|o}vKfRFVUPW|M-LXNk9v1WJZ-Q3Xui z!4Z=Lq|ZfYHNUoPOy0evCR10>wFq zssktszw=xa7JQA`a!~$gLXs4Z$EK2b6vq$DKo)sG8_zHEzEBx(w@nf2CSLP2R>!Bz zF1X%QIYOfR5UvD$^*(2+>DVe4W;WFaqrXY$23QS>G&h;bY(cVAw=@#qrao&Zb7UaR zxQ47aY}wbg?u#r+k9v(W2RIkkKRiX0XkZhmdF^geLbo9EYj3QSHNAYn{l1u%lm4I{ z&8H*z7b^b*S?k}dA%Zoj&ycIxECg<1| zE}70a8oI2&ERZA~M)oF;IJgL-+QX<#WW(@-{lmrL018S$RCHWRmk$@?`MxdmUBt-a zZ_1?JevOMwu1RfVnkM$6!hkVL%CP-79EUI}A_3{PU8v_|Od0K2KCeLY&}E%0<9e*? z4Tre!*9cY(AeVF=_bgi;>Kv)r7=q8KA2st-yjy*Z#ypVSjLA5$&&XmTH2*O092>*g z;F*d`bTzOwUr5>BgrC#JSf@M5NBJSsL=(?I2K9nts+?CLr5pXQx8!JW=)F46QH9w) z?mC_AwIn{h5!fIdjAoQ-jp0$^@-ss@AS4ovUK?MYV|$hl=YHf4^ExWqVo5P94kky3 zsS1Kh@9vT}!)Vc)FDN|6Zyu>hH-|A!JST?;&c7`NaR~)XDMc!GG(VUcf0geW zKgD326a#(a1F;;9*)ly=8n5HLFA#dj0n_n1H5S%X zFxgZ{1g8oI=YGzt-T=RHMR=1~jq6^BY-K-St{nb6^P?gJZTlXA_heY^b25$`%oJ{L z;0JQnWPZTE>0rLbqES`Mq>8GET&4SWn*^9={*<6~GV=C>bC$Agl?Y)(` zAA9<`;dNA3l5pL9`ICE2^e=z%$tR!UsxKY8&n;H&cD^LC zBs}&QQYfLlz1_Wm`+<+{?EKGn-Srh^aDDH|CqK5u zOnZYJ2S;mzH_nc~k&q-!k+?HOLW1X?zwle9`_FI9h>3Ff+U3hTw^o{_Gg$3@@Pi-w z*qu9f`mA!lyE&DsrEl!3SNHaI?rPp`127dc z73ODey|UKe*nRMWRrPZ}cc;IzQ{P>ef>=+$ipsEam%Z6LaPjl*_}#k~8_c!_cfPv+ z)%orBc63T?AAa|0_wF9MkvBl%`|nx3$N2R8Xz}OSXRN(luT3X(Cq7Ab%f0n%cPsHb z*o%Irqrq3B>U*3`Cb~3R*m9m_pSeo-3=JrjLX}X@UR?#3x=@*$_&F_fU$~wZhmLIK zbzJ1eWbYf^ckp?=5Ke+-q9AlY;aboNwcI=2&NJ+qJa}&S0Xl?OEi>N+z0j85uM#Iq%4b?(yfM#Z!>cq@hKrO9fCmx*}!WtY` z1o=<$+2;KUlSYLdJxj~OS~zPQ&cNxXwL^M)ws{O5PW|MfX=b+azc3eXTf--xn`_SU zrs2eyLJ}6O#3@Y5m(m^a2A`PpK>I#&1-E1hs%8OKui{0U^?QL>CN%XZmm-}YLtlIl z2nC>MK!TbOGU@l%vH_Z*NG3#@#c?_K!~AG`q`c8sfOT@5pEt+DQ|-vPqHvY-v8sGD zDX#GJ*68U*F3O_1l zn9@x?g^OmJ(Z-+D+Tc^PRYE8)QKMEVbz?y2He}|_tV4&A_Af?CyR`M_D;t1>tHPvS z$Xvq-MH-bi*a^*~Y|Y&!Wd^1amXeXl#h8H__!C&Fth6ljdCbawgh&pj8xc2?bmWya zzi`pC10(0*2U1}Fz) zIIL;zHAzrEo|KMCYc5L%(bZFL2Pq6?1SDB8D>9UO~Rg~dOv?v2^%jQZRtM}%Rp@f_X71*gLba!3E*Wbj>v`SXf2SYPDa0{5! zx3*bGDs!!cefVn*u#$jtU_2-)7p7LyTP$HPL}s536ba1dWrgmLQ&7k0pjRB}iPVAyDxxW+6U8ExlHrInNV}vj-Sol9 zc^>!+-6OIGnVv5AH9TfRWUaW4-F`UJK~Y2Nf;FE<)SL|@$&4fRDQwb1zyqc>>0^(V z)~7Bq`rI{lS5_Cr9DI(a<{H--FAJ$~8gg=t;yqOl7>bx=H#b9JF0j_yqM@CEWWMqp zEJj%!56FuB@znB0*{y6+-&%RD-nx@>NsbB5tnSfBrwq`8$^2+TjZRr_ev4*GB`c|g zhp_-jH(SF=#77@I*;SEj$563c*k=M#n*pV5GVPBl#A>7TIFe4qkmM~7r*j$#Jx)X- zd-UkVCZT4-XLc>SCNpLVdJfd&O!ua1*?fJ(z)iI&xXce!Ty-`t0!&K=j>hR+@RxP+ z7l1u2w{4-jc=Mk$CYxUS2@(?LTd1b@?qm}cbl}*1LcKdW<+~RzUc7+cZ27I%x92`l zRrfmk>K1EsKlQ28t@7LI<#pmern`9Q(xtkps>j`Nb%nmhC*)5Wq@G8k!Liv2tIoG+ zP*ojKC!A>DKJ}>+$mHG9K&Z1cxW%%}OPn{QaRv2w>DKPbe@kmU@qZIt(64H`p=ZXz z_lql%dm8ROyZ7ww3(i&mi~3ED#g7Pmyx;F%;ZlrcdEtU+ic!#Zc)R`w(ctWk)A1E| zW%nJFPop|Z<#tcwHdI`|3bIyx}7Ge!SZ&YC9g-!1XIwJ;svGFTL&=Oli;q6ZNf%6OR zN1o@h_g*BLX0?5FB+oq{y%G+o(`suF9>_W-+P1(uYW+*NHBGxcsNrjkU(6(@4d@7_ zL`PmQxrvxHrrv9$4WBLsqZ^m{mN7M1p|sHC1_RJUaRQ?Gl{J!g5@uwZbo0b9#!M?^ z<-5r%OFzB*yrVhGB~#5Sj~I3R)h9?i%4uTvb2=6ZBl@f{=_wdh*wju9@6e=hI0$1J)y+efw1AZqVnrfIpGI1mY zYN^G8SOu!O&;?XzA<8jtd`%z9M5JouCq_~~R(Yb{{x*1`v%VY24Na2Q98?opS|qQ| zvo$j1gn3OZigBG;9VVRWYiq{W8ZTxC++tU6AV6!~crA^SHW#K~3@oYDSem8Ya)Y<1 zsZXT{!jVh0OiOT`aC&S2g_#mi}X%rO&r^x z74hOM)9Aun_m^NR3j++E4Dh-sDk@gPWSpmsY#TLTXbX(?!||ywx9KT4o7yw6?`dmV z&+wr~&1a6;fpx%wK3!gt7sKf(x(cvESGc7jY9$;o4`&>uNhnWC5I+(U{csw~gFyI5 zFQU}q*)l&=4U5nxWx0%}=p(w^9Xdfv#tpY5>~}cmg`JF{m*`82p+wfQq-2?!F0uDn z0eUo)Hq23%$SoUs0eyKU9VU}N_p4-$cMr9X`e#T`46Ot`c}XHM=#$z!uq=pdsd@ge z(7RrGeJ`91mLBXVb3WW`=saxBp!2>AT4E*%e9(A!NJwU!i~YhREHdtgHilLL{>8yU zbYh}JQaMtGShK2i+$`m-6F#`&6usJ_*G^?hT>vEECY}Ao7KgvLgv_f3uol|MW*IW3 z!biP{Cun5&d(w@=o;4U9j&nm$?{A>qKy1Jlr9R3GeT8LO#7 zRx({3mUF#-Gv0^TtVWp|{pb{33rR*{PIVkjdp8fWLu6%rlaLpInn4UxBrQYTNPwPV zm>vZNMOd2)e8W?--{@YJJ#YLizO~PzJJ)hdA6bGl_!5B4qw;KJ?nif*zVhj_L$=ua zN$~dxe?K~X$48dnz4N+wvY;;a^c-sqHm>h0f8d20QlnV0LJKy{-3Zs4xlx?;ad~-p zg}WlK?ZrUNSKgnXyE{@|K=k`WPyIW<0zE_#eMkTPQp1!}LGb8D<+7{0C2 zZJNCj?~zq*xEohIg-HmHI1h2wio;zc5~ikMcz)wjr)-K%UaqfzTRPe-TNGX`YtMT@ z*P##w>vIF87x(N^P z2sit*k>*Y4)}W-?T2l!LQngXnD~xvq1NJqv3SS;E5*%RB2jUJP%bEQGaz!_lZd*_# zUoN|GCk)|%+*LCwRw^das)A3Dii2*i(#s321RZ^)Ss><+MkD4=1E`_%6|2Aq=iv)( znxTg$OEVOrg~r4fR}z+Lfu+z-C{sf5GMkSUyrUzs46GW$soQx915K8=&*k>1;;~_w zyDC-$P7<5j7oz%yp>RBd24fcf*~)SRXfDEF)`VwXEsi`srD_z&-9VZ#=q>`uI+__+#pAn^{tejw4pUvnK+!HYa*yMp0 zGgkh{^}Tb^5dl||9x*LU9p`J@p39UpvlRmp@MdB#)rNZ-aYpWNEZ3LWUKQ??Yd8#a zhxH@4Xp|8!l?F05vBxzE#I)Y)K+x3_PSd zc}v(-F{47n=wa$noF}3CU_#s)6bUloj6H1nYQT}w!KgoBlNSa}^yDbrIM0>H0`XYt z{%t7$E)MG;&l|%7IgS8YWYr11-J4%}QApU}in3>yN|HpkNy;hs_!odNxNlc`0QF zn(Naq)k4YWLc+5t4q4_7xE*Ra?g51h2-}BaIwK>Z{#-*hTZ|6&qbG~UjP5KPchzA@ z9Ub%!spNXFjK^hD1l?lL9jCpg49cik4**(|HF3O*#sA}@H+z)+uE6tM86wp&mLKz%mT{FGik@xRSNH8WpM_WW^B*aoeQ z$Fln6?W+C}IE^obP%Z0(XehD>d{UzPOtfj+8?C*(zFPf2tn1a@E5CgEDFs=regn@p z?c%{8e6v@K@MnW2#cYS#6ygD92DGkjWg0L8c1iOYKM<1!pE)L7z;76AX>gyNZ1$n!j=v!eEt1uB7VPX~S&P>kX**9U4OmgT=X&++Cx3~2ymWjC-)hHwXiI|+ zBfkxQhW(M<@4L<(!uQhPtX+}Qy4Gnu^8W35T8~QqgnI({vZ;q(_RKrq-Vcubb=+fy ze}>1|Ivu9RGwyA*9uUujU2%Mwd*^Qd_Y>kYTmm&{=MCW-nB<19|F!+q^p|hHvf6v! z`}W@ZwU;kme6H_q-@R+(6}N*ybeF^B@c#EV-nI3G)xYlKj@HPoNe}EmgT6a1KXco? z?|n>*GW)&OAhtr3^fylfW{;^utgQuheq{GK*_Yi5wy;(!ky7{g9}a+&^SKaytFO2@SMl;9l?u8c^d{3waVo4Z`zM@RR`UuOgwM z;}sDI1?VllhN<)B)}wi5*NoQdJo$Zgyk?18DVQSRPS$|MN!G@1bDJk< z)(t#?Q#Q|2i8K_c8afI$JyqZlPa}@&^q35ds9y}!lKfrFAu2m>#-vR1y43< zd`;fLI}w{fz|^IiEy@;bDoXO7G)3?5kWqfnn@@xa2&&yIRvIYKwwJ?dNXJFu(SrUw zm5yr-ZNUw^K0EcoC*?ZwQwy`!I^2d{J48-=qq;gc%@b(~10=zO#|~fcvFA{pCy}X< zu3Xa?290^+Ym7Oz?;@l;PWby&v;ba23BnzOqcNhY!t-|nfb7wx)#E{ zF<|G4jLZF#(#q(aANErDLyX2CQ=3<@Z|)lhi;P<>J31+FO7HN9m9F4b3TY+dIfZ{R z?8EwLcq*O~Q0G~nx-b^W&N5Noe6jV&KM~@Qy~FXapN~(KYn<{0sXt2hZ)~JT3S&4F z?ZjIYs2N z^<(4QyWN7HQI@B~o{f09m#-1zmXtnC=@J=ec@k@W-1E>7;-z1uzQ5quK= z=zi6)k9};@H*O_;@Pq7ztKqQ|aQm;^zVJ;~uf2iyghm@a_Oa8wZE0|P_ttvNcdN)7 zuR$yMi)rU4Bi9?yc^a`r5D6Dx)jygOv-Jh_@uF{fTT>&q?@e{C_%Rpn%>@zm_LWxC z9rJNs`IrK2nVuj5-F54Ck%dh7VAJ^4%#Gp;GP*D^Pb4Af$4s0soJi-u049uBJecIB z!zlfArO$a&4-#)o=|x*S39``G5j*G7Q{a>Zf~n2)8zRp}UiB-MPeo7-l*y(| zpk>#wMH6*y?unV{7)$kBOy6M0re5HlH~x*4R9yR`Y2oF^h{%pOXIxV*!Bn*`CT->4 zhIOrI(Xnw9EkgGBo;lI3Rf>tzTUvqwHlPaZX%@{~;PWo}kN68I)v8&M{+Y_@RLf30 z9jxWN4=GCPZZ_Fze2Q2xMI4%>FY-F!(J^yl6!S#n(Rm`w$g7Lk=_X3-sT?6l7qYyR zH)V+>qKHClfbHqLX43UbYv>h))hZ&Lh>=K+#8#qkpop5leD^oVM@;)DAsG{(Kxk-r zW4Bg|#}COTs}+P#(DkZzE6pzV5V-Qd3}lvzhuF@7lTn`FQEoL5H&wPpCA)|IiXmmP z;)#mwKr57wj0NA6bw|_0FEenGNpsV73unWST#B9q^|PTVa^{J>q(FkZ`5+&Sbwl|i zsWtnv#??t#OIzD(Mae&qH2dvGCT_>F4zTUex|>FPQ`7AGSJpLnPNJ!%Hp4!3z7tCK zO0ftXOzUjxs@Z|Ph}<|yYZ*@4Ot-o?35gJ8;g70mF?usyv>)y@oW``I*f`9NOA>c8 z)(y@q-}SWa(nb1k5pTLwFG?=K+>rAaqj{5oTa*4B%qeH27!VhG&1b!d!GlsUodniV zQwmceQ_`E|P%aNtOKuBQQC?m(q!w})LQ$M0${x}Pa)FDn>nXG2bz3qDu0W!1fjWr+ z75H=Zgh(~V*z!cvmn6skC70~_D7&fTn68{ve}mij;eM%g@Dv~c)3YuG zCrm+2NNVHVK;|j^#yeMWb8ygEd<{#-diibcDf2cq{^?^v(7`ze&ZD$=v!|ydAo*U< zcAAs@?N2-RtFQgFzy7~{%b)zvcBt!2%JKW2>F1}hTmxL zr~kXRKXK_NZv5tL0e@ok(Q~A>5|RcAg{r6eK-<#3qcxwRivO zZ~yG?xs7|H>rbwBSD*OACtloO8dnoig<-wIy<+vJ{?zW!JB=0Q_#bcMd%e2e#=J4U^EGhp z_iv3Gd@(e5U1_Y{k3U%Wd*#7{Nq9Y6eiPVgjGpGs9{FlQ(|p>{TUQT**hrZctkFf?4Mwi^B|Wns ziMHWrQ`chPY9xr_Q71!*{WiLd?KjS~tE2lGcb0>1CqUQfds(YLwR%GODp9KkCR<@V zJ16_XZ{g1Lr~phDYx~IF)6k|7J5u@$wAj=m64HdA2ozIg7{#B3yrvE8f;EI#rl~{i zX11hU>sNCYM^2ltjpVLnf;^d~I5Zpdr!LSY z4IH~`%ymD6rW+_G=VI=1b6_Z_LA@4k-bnx2XVGDE={KyB&&cN(R4dyrnG7js##5O& zGewo?gq;ej#hFGWpCT>fqVb#>!C<8ZMK*OFvC|+$^}|iRnj{4bGOSLT-BxDvV32Z) zIx_q2g{-+OA3S-(O_hrWt|nkx*s0}TxCpyzOq$!0)vo9;xlIB)JT4E&3}Bk5O;=;q zRyh_Ub}o$hg%bob&B&a`=GK~I*4fA=B`cSF8X2+sH*hc1b}w*tY2FE0$UUsNl&S_) z^Oai5;;HHg&wTnQ>sM{qVG)1l6j*hM4<6fGMS`>g-+c zGUX5CS!i52doPglBMKCH4)&gqiCQB=+e+7As=CyZ-*8RPv@e!C(XM3TJlj7K#Yh9Aw#=}0AD{H~ZLe+mW3!1OX#$_j7p z3KjS^sP?KggS@V!LcLZ29?z_PRvYs=Z;}MJ_P4I68>EgdR=9v7J+p8>j+1#R?GF~)#jwx00`%w{lw zuK_5!jJ%+HSTu-Bxvxjy6u;+YT$oG#;HpvJyAH~fYubo9VP%b9OekFBHL0pK78`>6 z>^m0LLF&xwLDs@KB3ts)kI8j*U;7)Jd)fG&N*pErmh()TxC}oL-_!1I|H@DOlEUBq zeeVB~{g>T)D%qd=i`7@jzVUTC)_>9N(^sy%`s(c4|I+^_{AoBjW4Kzq%KFw%?5-}}m+F%54058wH! z{3|w?dg-ML7yjJmzjepOXLHu)4$V`PJ(0|NT@3S15y3y;|+v zJ*|QDd`;Y?M(8g!SXjN7fB7;ScXZg+S#ds-8e!3gNT z(0i|TeC~?6pZk?pHmC94kN3@?fAKYVbJF!CA=RS=7i(;bq>p!5OfJlP?UEzN(9>J2 zUcq_+cfcom0|O>h^knz2=}C_zH?asWKcZ{;CUR(BlnmQELO|nr&P!oop2jc<@TGTP z1RG~E&xYvqGayXkGOygpACstJjW&lqS!cm|kD{$$J$ZZI0 z!pJ{|Ct?>C7Doq?%s_}p>J?5eLJRNdOcJIbM`pGPPcJutzVsf9+SWgDd*vGGI|U{Q zDl!XC=!~$5kMGS3>4|O@-;mhQCBw+P6DVwO6H6KGJ!pXt6IBLIg%}K?=UZF8%mu)E zC~~Lt8=v`A$^pFbVVm5o0@b&G0lbY5l(goewd>NfdZ`En79LrZJQPbdIAU}^37R<< zQBRaEl#M4ks_Lw5u2_m2ImVDQ>x3q!Tv4GmZTgI{4?a{c_QgtB)a3mXC)b=HD$$8Z zz#uD%n4wDx^b9yi!yztBYCFv$H2M16T+})-?|7LyYX0)fpp(_s+c0lWXRT912K{bon%Z#wPlNsNT^Bk~I zI`PhoA*<0OC;T!jvLl^fSuk-oMfc1S!0E3?e93zVbF>RnT~GGep3`GLz-6EraK^P- zEfT3!=#VF^u>T27-<)rbP1dI8;_D%6(Tw(7pa(T;ia9VcM<` zB|b+U;J2(!e&IU6`X{DM*|9l6bUu!_qPB8DVyfQw8gi`Xo-8(Y<+o#z@*wY%fRF_? z5vt%T+zek@Ay(kmD-}*U#8yvmZNVb&eR;N|2EnO|yC%>_9?W|@70N6ia6!G#sbut} zNBN9ro2%*oa{!Y@(JCuwJw$TwqL3BY2t~!=R4sUeFWQQTuL~KT43$$j7pnOp@742R z=H2LMyzqz!Rmvmk3^z=KwaJy}7V$C@gIB23iY-AC;y3%KR@~Le<^#HuTN#CKHkcGsM%<6`ziO7Y4BZ_zAH)jk}y|KyypGS{_J0MPyV9x z`2qi3PaHpe_u1LEUb8&d*=b6_df@a=|KZ}Y`0VTLrhMezhHv>tm)3}O1zQ zp8Cdb)OYQl{p^#UT{EnT&pzpXdi7uYfv>lc`sc6x{&%{k_n!Uv{vZBs@$9{Kqk;T8 zzw(3MEc@OX{PC~f)_^tMS|`C~+Pg36yLOJ~N*d@p&c1!aQ^7ZH~S;{$@pe z!ZVNEd`sT=+Zl1Oo`kOiF<=gzivh4_{H}W+weXorz zCFFx@aFyN5&(dIL=Y8+1>+aeKo|JKS_eOd4sKhS2VBgwG$nI`8N7kyIawre>R?nYW z@vbw-uDptRC*WKS*aiF6R<1v&2Fe3>d%E@bRHRy?f8|xi<4!{KZWi-suaA?owcq3N zeID~sC_V6NSNHU$r=i1>w&wEuMk1T(&1FWcu_p7P5Pin0z~lE(CI4rl+)g&BG z=i$Gd4wy~&KyeA;CXD&Os9j>%C`aPU<*JLAbtx`HuyKLWLb^j*pO_;azd^LFj5ocx zw?iafGPwzXlp*FcBQi3;tw^gta!XA1owNDopit z2e~po@j8o2T`fsbue_Bq!>1FQQ!c7qM-PjE0ZpNadrZM8UUut3og{67b7H%>NtR}- z=_A1@pI+m}Wf@8t8n#$xQJdQK+gr&J$gf_Ie##T|0SHCf=3u;Wl+H36cLFsb41&UV zF3X*WLlCV=5hX^NoJU;Iav2Ap zQHSmgqqVFiU)7l3sEEm>!ctO2WS1d@#U0ch9nkj`x)jbkzbq7sfCeGuBATrn@B`*S z3;CFe$I^$-4W#^Bm=BI-2W@pJU1XOu%*x?jsQEiZ;byNO}qRJGdgKqq8NpZ3XL+itkQ)RxMb3*%`u z>ytCkt4R&Daz{n5tUfGt=uRp;T~iM z%f*lt*#ly#86(;E0Zr*d=B|i{=VQ8fNcW5d%XOa-sgaMSG#Ns0T|mnE){YUBxR(2e z1q+wQ%VEAK<6%xWZwjqN1Or8~UImR#I22W;~{8BdG8kD)h< zhes0*>Tz*-pdMN;eT_bGg`!aZO+O%ybmD5x)Ui_G{!aLV-gvy+=TKcfN?%Tg(T}{_ zpTHj!euzd6iR`{gFEZ4_$k@ffl7Y&sa{F1H*I72G7*8R4axzFAZkJ`$A!i$-(7zmn zN-vBG6vfSO#!WWQ$Kxu6q*RAleYE6>Kg(`b%lTrYj^mQsTL7IANJ-3C5k8wQ7VHq{ z^2u^hL8ZuCSh^yg^p?&Y46?%m?92kppeuZ3cAhM%Dt{SVb#TSZrslIjT~|w3XW8s% zN%W;V9O&FHD%5mIM{_^qZdps0#k^*Wv^QGJmwfI$=2a8ODeM_ z5oZvScooy_fih*u1pX-wnR}3i*IP@-F&_o`N%7bZtIIjGtSVjtQ5@YO# z@o==D-WB6X?x;TF!}7@Get9@QjP$YTgKMvvw$i!iiAHe=B1J!#Zn7c}t;Yn0`6GIh_^w^S(O>s!lw~ zVc_;Qi8Z5mb2rJB3{lMLzHl#dsmcEG=A0%W|f!K<%s&Vl{8D?jshME@;+^#A-vZ9iWA z4KSMu{88p~1)Q~P^S#H%uhj0VdN0bI-v>Tl_um*}3~i|IJT- zOcYL7+H+U-o|OiVbAnUD?tb6o&i^C#Yv1~j3+HKYhnS^7ANvk7%y)42j_aDqdp-=l zd#Sgx)?nbgG^i@Jx@t7|u}}WB|6MWc{;Ydxb^At1w}c~mu3ZsM@JEW-ACvvkkG<<# z?i_!orR0;Jk2Kc4HrF!S`e4gi$u!fEXY%X`zJwviGZoATHr#9|DKXk!*zQaC` zJMymy+SqBHJ7a$PiF!Dy)&5(byEJUhHpZUTPv3TZ_r&)*_Y01h@$bR&_^?lFY&2nS zI8xol^ugT^?)rCr$DhA(5HFU9bdb)w>rM{6<@Ks>W;785yuZxJD%tGWSxe*dgsdWL|;^|j`xl~ z=sxJAL0P`YH{Mrou*dGM~v9J^!ITx??4c3q{%dqM+(bH>=Gcik2C43Gx5+=b6x zu#t)%Mu!U*q=DOe=Q~rW*jMFf@QyG0&7(_?U$S);R=2LQOyTaUuhOIKoz>Ib)}!lN z5mF;1FYc^vzw!!k2}lOxK(=b*8Ye~Hz5D$0H!fbgrjZF)G57Ym8r<4@^)5$8?d|M5 z{&>>h{5+6&9*tcf2inAf8CSv6RzC;b(+HekdVE07W5_34 zF0K)mm+Kr*A8SJV_7-kY;Dw%Hx1H*jrF3Q>fOI9o@nY3Qck7igQO>Ba&^CYyzL9AD7&ksAQhNbSvEu+{Be3 zR|fM&#_jsP2|+8lsW))bBJ_oe5>cXCQUFg2q%zK;qJ+V6U-`s)eo!?mb!Z$-;I#;X z&ZKy6?!{3!$JV%0QahTcp^?+1sL3(DlZ&a(0|yY&m6zDMfQrj=83Y%TBTp8B)K^vu zB|#mg=2$Dz;1^~mQ%-T!T(o`7Bo4%Q+-pdJk^-uiDszDXRBjaI2H5MD=DtBU(pf^8 z`tbY4dxk~-$&%2NP>R4&zCOw8Rb9DsD=1UJgkrEKM6HnGnM{>ujFxy|`pjh-7Ti2U zYEt{mC`GRr+JR0y05vS5;s`*fHr8CyjByhiuo&_Ey{GdISLEYG5tXj7+ zYFh;;#R!DXjX8+?7o>NjU{*7a$lpQSy+y1+WGW@r_wvSS;K7fDM!4p zHTDsQ+k)c-WBhg^SHrO_p=cx=ttG7KOC-BI(cPpXSC$M5Eax0%Q2kQ# z(LfmBV@@qHdLCwh+3+x23cpWgvo`>WoF#!C+eU)l#845#pgdfX#tl87V{WWW%EfVd&gde5>RFP2qoCrnF}SjS zik|laYKNPWHp_a;Y#I7<&SoNIw1;MO7Q&JThmy*PS~I>|3J2ck8Fa5VoHGdra4+^hrTu4q z!go4H&19IS6J!r8;wILY>yxI#l$TSyWr>;ca( z$zrP2sOrPa%sEhF%9TE?l(ryh5))JfHERLh(2N zl8D6G&ZlZLAgKiDuNl@wH zMr_ot?awt8Iwh76>CWJ3i*Cj(+tYC%>}gP61~B3gjxBXizlCw`aWeh@1HJxCOU&!> z5u$ZR2i=NwEMvN{;KMPsB;%Y(1Fn3cPKj!71xF<(KzalP^JqiwDHBIYC!BM!bzmp= zuy1zo17;@ri-PbpMj7(}%A(F?Pb9T!JCgXxzfApl#j6=Ru539xn1{uFmStN1*Jwdt zdwQh0DOu!*mUBvO%>^Gb5;h-+Jt3s<7Avj>vKgBsZ*Yxl5D|tL%%tVVeB(ik2Squ| z%iuh;4aAL8p_*bjKq}A0;WE$rQ#OBIj^}J7rn&hpKS8~VT?}0j`;kRfH68f{eagJc zfG&rYSkgG9a3sh=Qoe}k!cv~tH%JOHM4gLKu7}3Wdlitjhto}#Awc&_-LMF z%E>g_;4t=sZ^u95lYZUJ(cE49-*=-xUnY1A3n+*Tv@fX^lH0EQeNR zDcFJCfnt#SWb*_c4(M_mvRXSIr!~Bcx}5A7}oF4z_W(-uxWk#QPL58xpHZ#WbE>{(3UKEC{DZ#oan{-z!wISFHO-98+A#3A9 z&AB=7t04iy22xQbf4M=c$MTfCFSoe2wT_Pck*9L_65|^Ea^q_4mSFy;k=T_azXQJd z@BWErW&fN1{ugcEpzEvadzUX?z9d{1{#5yw{;RJ4+pf1;*^F0*S)qpWT-g(7>) z-3@kz`=8(a`u7VxJi215XRo{KdzUVq*5K;*f5$I(gFn0mdcJ&V!cYJCo>jQVzIXf? zX~^!@d)HUnYdOE>Yj*Bl{m&0=Ub0Pv&h<*})#{@kP5GbZA5$R+$5dci)@+Q|zwP?B zr4U=@)63ueBenwiXMXDQe@7H{x8A#cVnZ)!@S_+0z<<&Wi2@%={%sA2*Q&vTY2e+{ z2x%)_vPaI_d?SSBX5=QaR!GZ-52&7Tm+M`RD|}0Q%zef8=i%pL<~;Vav427M@5!Ck z&*&5x)cXn7SjHrjxfT*7Tdw*~5!fxN~r1b(PHSoXlL;;_XB+VKg z@7>y?MlzAVcYFrR36vE)xEmi{9UmVvaeh^6YER7K?YT7n*a`bP+-0k(9aM5^*3dL? z%#S~y1}41>tK-UD9al@0U9UY&bS{se zY)c`cmRqs8N{%Qqtz<^Uh$lf2)yV-WbdPduLEQ2^f+uCS?vtiF-N;CIdDPdmyN)Nf z4-I)^-9H~TypQC{C=a#YHP%ocWs{nR3Vp8>uf`JEm8=gcy=-cX-ap7Dyp0l>-TK$I zgsL;6A3L2Bps0j%@(a>^oZPM1&6AlSlW9(5)ZHd&tdRl4rgEON9RVC49|Mrch*E3H zRAVcpK&#)_(8~Ub#k9-A!HhM!2g5}%n+~#Uc{rKpi}B$y^W%K6fGfaP-A^uA zkn!kM$u%&pnbgJ4R3(E0*h^M_LS<@Gb6snQ%)b=}amdouNkIpCxZK}ox$MEg;c!-` zl*KjkJsdEF35#UWw}Hdy{v^`wI-HdnD9H~GL%`o}{Nk$=!O$J#2a)xaEbeu2d5ALO z{vqou-E3UYmxC|39P=I!ji#dK*74@S7{+`u9`7%Qv6zfm7(I;TB#i66`ISMc5tM(A z*>=ww-F&{E6%KFQ6b*A$gU*&qR!37b z6oC~~?UKLF0%Y|a2jgsT$O>6k9`spVy9{MEKV(3HlA14i*-~O!ndUrg%n-zwlj?!u z?*LwU<3Pr2nye!0)v{TrQb!zi&BpQO0UFE>1E0K89OKC6!OQ4}v)HFkZXnM4Ky(w| z?*($Ug?v2p!^1;YOeT5%ka3>L@Miyz`MvQl^bhNnZ8=^}IahnUoDGhqj3O+DlVwgX zep$~dt$2lxD`MRPO3~=S2L^~J*#uL@a2VMMvxD)FmE`?p9<+eBKZ|2kNj@&o&8S}c zpbiz&fxgcQ+EKP7F*yl=8ixk0{470hPm=udeaTSUtck&Z*I^&Kq0L zI`C^o`qG^1EHAbJ_ETNI+@(>@HJT96Eu`^XL85aXdAzY*vRNr-c=wUTU;NF#B1XQ( z+k4M@j#qq5xA)w0msrR5>Z`}}A$RWXvf6QV>u3MMKYf=8ytknxf2TklNVVS2w*Saq z{ykAaZaHb-cKMoauc|Ix)(XL6dN`c$$`U?z>mU8|zy0_r(Wel5dzUVsLK>p&Kb*FI z@GHM}Uo7;}u72=?texf4V4SRyyvzANoUn|om9c;K+054Lo-Mz{u2w(!ldW5}BxqHw zQh$~$%5VIyzwV!imtGng91}YlxT~y^RLpy3@9pjGe&g5vfqx;sd&9fmy-ja55H_vv z-L_MI>yia(`^+OfplM32{lPbV>BP4k!wDZxJ0N|(+K@(h_=V`|34Q7_?P=aHy33cY zUAe``1*@g6-O>tLR?^z{xmR~rmoHb(wR{g|uO9}mr?k_bWC7}kb*p_~^t)JAEB~)< zYOZNO$M%@@q^$iN~w1Mhz4-j|JVyetha^oc0>JB-&@Nvj^~ zwJVn|X+>?@hrY_9V{TL%4d}4n&-r!k>spp{dmbNKnqP1$<;n5KHvX1RD`{H|w4zpP zcIB4_+^7$yL3DqmmFwIKdg_gi|K68nNCLj>YI+Q|enY-~g9`i>yp;owkOP|*%p(MT z^MiypKZ+)X?z8jPEYdHMR>vxez zgY-s!{qo+D@E=wVyjk_?0dis8AbbGcw^-iFfwywttsHnO2Yy}T01eal#Z0KZn!*=T z1L9ts5x+)qe!asP+48U`4M?OxiH8mO7XMp0@FklA4_&3-@R5-4pm}ru{^q?owwC}r zXsi#$r&)Wqp69Ze#0Lxb7T161Ip9wxV7nfsx}p7y1lzRJVZT%`ZlVBB3U3mI6DCgd5m&<_Tzzj;(>$A`|dA64!Hg=<@aXE2}C zM=bM(PoH-x+brW6+^?+_ZDcW-_l)`V^o=*IBXf0D3eluFj?0i@+BVv2DZSp1M4^>6FEI z{HDFK7v?h;z?}`K_j+KR^&TlIeeJzRuX$?wk5T%;Y1J*}x$xlSbDoH#L}oFc0Ik>Y_Yw0w~a^FjE?U*aCDxVf!(4b z(s8ZhXkD_o8gJWYKI5*2?~!26D)|1KZM7VE-o}$`>Ks@D9ubXelkPbse|~m7o_@9oOaFtpVoO{caoX!W$#@#~zW z_G)Qwy#2%z-}s{Y(BbMo`}!Atww0dNZ*O9k7XLBBV)2oWs0iE@?GAAKnUq$22d}TM zW!pFLZMM7_S@BW#(c8at`y(qfIR4B>KYA|>+Izz6=G5*-Q0ZG;kKF{;5dRy;?g9n= zOARi%W_N-u8zM~5Hn!DPAznYI-rpDOZ5o=lDmL&y;f(Ws2A_o7qhEQy&<{($4V(;9 z-Qu&HUo$vMgCF^kot@XGfa=k`z~6WN297Ogn^1h}X1|m4E#^1H6OpRMbF0b8NWw|P z!}w3sxpQB#-S>ajZE?l>#W-ph6tZpqYKJ-bb)uNC0x+af---)bTkb?JuywV5QO*N# zDa%qUDg1UOPMV8DE* z4&T-jb~Z46ia-!4Breq(;dPw_sRo@zxi3!JRRoWK7$T9*2~ZMGiQPAMWc(oFXHN@0 z<`%vSGdy#0O*%db5H!}23~(+KRd7WSOw6D#3j-XAHC}(zqOrHD1(JZ0e)dDdoapsi zgYr3K%I|??NI4yA^W?xOSZkiHqcV^Bk!?9{msFw)*V0dx9c4Lf;(_3=6>mpdV2OK zOA?r!&x@l--5lTa913RpuZr5%g6+IZ;U3Z)M>ehCJnv=fANCISBf)hEq71%DpN`|v zem{ZQptT$CBU#$;0pP_@vx7T1KWF1cWlN5`D6+*NM^v%9;R4+B++OQ?7(4n{c*>$+bWDh58b}%?QUL9OKaekZL z@u}Yty8+tlOf6)YoTAkrWobT%=8*M-?5wf*V8P)^Q8JAidSY)R%-P$1ChAF;G>D0X z<0w%4fh*y)(^UfbCk<9{$fraJKQb;P)Gdcv#1rJmU*b;u;6l<0l;LFG3pU&>m)*;) zr?G2>XF>;8b=+O2jVcW1T~ybd;jxxR7kR>+HiT@Eb?fNbXxQd-qAL;RVp}ffLpf<5 z#NANl7NRdR1 z_HpJ3>IWYDLUgxiYe%n;*Z6b78)v`H7i$UTNWR>=3g($fP_PD4o>Z;(9`;#9IlqOFxsNl`vLaxQB#8=;@@e!*o*EAsd;^N*80Ki&F+ExJ6%Zk{EOt*7P$tHYb4< z`zIyr@7F`RVtK%}{rgm-0G`5d*@n(3ccbOLodcGy=^GA0n1p?H zyW6LidQt-Zo02dQoyVrpiHLII64*IkRFAb^$k6fY9I%u0`*YkwLQMoNQqoJrlAUy@ zmHn~>6T54SZvIpBo25P5?!mEN67o!XVKG$D*XMk(_hzv?dCpfzCxua;%5&(AgtIy5 zBNro!p`ni~1}ZvfWH=4&28Ww-zUEo3bG-U>9iY2RH)U4XNnyDZZs_AJI++)i)QK)f zN5Z^j)Pfw|qW7lj80K|mA>W8|zBXrzoqd+r{pd>LuV1*|IbQdpzxgMH-T$1g`*GZl z{s5)VtvfrPt(Qn1t2U=xo?i=cC!gn7J;N4<$(E-HZ}>OJh{%cjT97;WV&*f$wsy<% z$aZrpKV10Ous5y#q|yV4P@5;Ulv2Y4cO$Iz>m;ILEi0WLGc~_L&MdU%_|&fv*!ePc z-jaFGF+zhcx9HnGwa5hOjcACFrXX7pPkhoi&x9AHozbzq%W2f=rIJD_bPE*@rA4+N z7*A#H*3eL8>Z8@r+X^^q$=KRSJT%!6ya+QeM{*d{epW4$vlo(mz9|M>T}WBm#oXJy zfUF5eDXaTJt~7o3u#yOP5~`Dk^ZhB4qSKE9f17&c|Nr*B1we}8%)h#)XLn{@*cn;g zkL@wUU{21(N7Q6=djJ9R_W?OKA!yEBV%A4|KzyMB+dDhJZelL8Y7)Fe?agW8A*ZM$ z(FVzduC^LA;hG<-RY|OzOTNj`c-vzRabR& z@d!mElxtPU(sdX?kwRe752W)WQbah8(JY1O2LgvvKu5LcI{1z;-cs}0I&@>M0@85h z7PleNH+X)?FQf=Flo6!EriI3ai4GHT@JP$RPf(l%x)qYU499izn8VK2>w;8Ct!h)K zWCnipmu*p8?|28yF^F+JPcjtc3}XoIgllAh8{*^AyyVS#r5fyp2Z(rz!TCv zOzQ%SSdEMRE-Ka`LdWKPESD2xI?tgKrpGfD^Yz+Hw-`-94URC26H>eBzG712rhkWm zsy`T|w&{|Jjhe-kieIjR&{q)wJAlJQ>_L)_Pgtp@0SrJ9-U1v;_?9s~SQbd#^Drht z7z0FV50o!_Gn}r=^no%}>kc{5Dt0i5!?5kN8!wd}7$_ji9NX&@Mzben@x#~(XBj5o z@XRu00@8{Iqko`FU!ON{#g95)0(VUy1KE~&j&z7=6%YY|Pgw#QHQt-9EjMzxuIc9s zVNs!|*NkR>rwyta@HrpgCTqwkCRR~jCIS)SfRJzyb*JOIXoDmx8jU2FA9q4iH?zv2 z;u?fU7bzZ!iqnP9K=ZUrjq+l$NYs-EF^@6G3}DA_dK5$V99zLTmAXEGLG2)ubYA_b zmJxuy0SvGwxvuEEWw1fgZfAl7(bGPT^KP(0u2tYpVWQpmMI9Fot>tYYcTkjMZEcTj zI1TGP-;FO{{&L&qvaPhYpVsawCzCS_*I%hiUm?0ZP936m<739W^pb6tYvHu^_EZjL z7=zD2x#=A`y~!Y9{kWv-D=)QhcH|}!nj1PFcV#ENbJ9t*nBjB7u3Lxian;nEe!3g3)ScTmw@8$!-l1Ut z@k!p3PGW1`Dv$%r#MOnHT)j%&3u~4wyMN9759G&7PEFR;)V|;EN}8~b`dVxy?}jto zn!3}6kb@~xYFTZewZKzZ9d_+c<)9J!sIM(jV>RsbB9hE}X8LJj27l>wZWw(#JV#B_ zGWE%?)1ObzU~>*bV?|VFJf9&Q$ZIn3m)6VSWS#|Sr7WL_q0WY5iHOzQ&Q%^7`~-5mvGMW=gy zW#lB_Wjf;N)bnwE)D56fdk9?#9b@NiXZ#$3o<|F5aVgS@x}&}by-ln@TLU7Z$?~X}4`wDaHB;}jIHRJ2&acAR5mQ|q0uWiSd*LDpOZ1)0 zsEIJLz|1JXND34F(XLH(!8rf zkc6TXa(;^NX+6js3;A>dNBDF-1XMkS8?9{Dgr=#$09{Uz5d?EcQ*=>J#7Dh|OyZkN zr0>Q_$8^M`TpmUJN{_Fnt0%1;@bvW9#Icn)Mq)S-&|JDuJ{w<}LKER(hCw3nnTD@V zDLpp6JH_k(mg-i}6k&>b#y!D6yvw;Jir8il>rjbfMocIGB8<%8^q8ipn&~j{DTAy> zx8nxGz&(z0lN2f=%q|83J$UY*Au_E5#?&WqP+kxc5ij@pOp;>whA@3~p2B#)0S^sK z%ZzB4+l?_D;Q;s*QasS3U=c9Y0-X(j!B)Wu5`#X!Zeyw+&?|PF(G-%J5hi(;9rtUD zt-$BtxPCYEDkKa`djdQ`_w8BR!;mEpzGTIWxQb6v`2t29wXCW(vOr;DfSRuttBxiO~dQLNr<5?Eo9Kko{c>_ecCeI#T_V!aIvcibg z+heP?sj6IFVd|vbWAx}f_~=(UDo#YbCmvB$MaM%Cz>U+Qs|#=|IyDR)4iNkbatX4r zkT^o^N73Eq{NR>PxRCG0SUrLm5P4ko~W5$Ru*6AV4U+=_+u<#9J9)^15qqf>)|EC)> zbHHkn?+Z>&4v@!m4jLPImg(!t_(~Md0T{@s;HSznuqXM2HEMtV1*y>hzCo32?tkYU zueYxbgA%;DN-mYH4@-q>YU=7XlCFP;l9rd7u&dYH+)qs}W2}`-UJ!mCU$`TUHOUJBZE4!I>zVrZv2vWW znme0kl-ACdHaFLX`_^Q?t9?PZ24A=nx{i|{F~u3ZtG>R*%|W!8&en=3c_68*eIWDu z-}#)<2HTTM!|!htn3dKnB0a#AwN_>hSU#snRKcFH*_DQ+U&v(HT`Aw~$YYVYkZi63RX$ zjVyVW8pFI1^NMP^qocT*R(3C*)XSjEEGt}J zFByxTE(^VqYGn#FrsL1Tk1-Pk&cFAX;1iMS{!!>)kth$|@_<2c-;Z(A;z%6IA?1u* z_m5`4Dj=QF8$Z;$0>P$=B}wGPeg@>G`=4S4fo4_sybg}^W+8gmt$b9R*sd#r>3X-o7$5_V1=pe6<4+N;MShk1Oo^dv^n8$>w3`Tv zAC6AL^Dgsy+7j<00XAE7UX{Za~9R{-_?+kt~HH3Jxw&t0_P*R6b$r3@o>x z3j!t-5RF_8DCX3Jk2or9at&;@VLOiqD2x*WZx+!WEJ98<5DMGHD>g4{J$f7yEBuU8 zO-Sj?BR6YKjqieLVxcxk=ttz}WxFQlV}gz}$|B6Dv3M{VrRf?IGiPKLePyLcF(@(5E!Xg)oR05rStWBkVv#vx+zak>ehgA6jb zM9|?lgTq6dLq0c*_0wfiAQ<;!sWS}2IAX!22|SSNGlSjyMqmcx-DDqi?1W8zp~??4 zC!5^NfCsf3=efq1jZ@Kw9k;j(upJIfWGgz;x)4@$>XM1MH0TkZ&N}X<_#|go?v4m$ zQY1YyMl)rcT(|I?8mYJ%MckAnVXySDt`BoHn3vjt85-MhDa;sg#$c6XU7wgg!V+4( zX)MhrjhP%~({y{%AUlC^H8X zrW}ymoo7N3%FY4BKg@*Pb;MS*RensU_0euSm{Fq=xW1G|9jmHpX=!U~yB+HUJuDgC z%x5}XIk{oPbidlTwc~3 zohRz?)v{Y`44T~8y(nh+YB7tKcDaVDnddt3N~fEa(q2p2{WMKloRS=D+7u^qHn6yU zWs-0+tge|?aJL`VlAE&Yy8MIV_V=%?Ewr=3dZWiyV}Wb7*sr zN?YeXe#DIjJM*AlB-(pQCG8*Cqj<2(Pi2jvzR9-DqZv5zDxd+iMZXxc ztY~UPoSloz5XRR!O+IVf&R`Dwioc#k8^{lXT4Otj)X9eV95CFJ&Hx1X9>0&_Z?Y4RZD`sYj z3j0ZAP`M~jeoDa?3|gH*!+>4eEZ9;=Yv_bZh<5S)vU6>9_xkaSU034RD_0e}s^zL2 zoG=#OxnuEcgV=jVjK*smH(OjNw7U>jtt| zsXQEB6ZU=JD=>1gqqfcZUhiY|$&G4sQ?ydcfL3%5+0dX3^w+MfWp-16vt-aFD~y;7KYp6;gF@$Ng@y*LzL|9@ zh0fAJ8~m$}i2kTJC28dDj@~o-!UL8-hX(xyOSHX_HrU8Ko{6J5G!yCc^?`5m`TYPL zH+21A<$$WBoUVUXEt!a7ikK9;OwwIF+89`MUZm9(FGlXv?@WnPEyL9puA2h`L4#5G zE5U%rcDi7~9-bO-1B#BM>5u6-1}&jj0|@A_;>Y`xs11108#IMUWkAaoH0bBFMAgs{ zyL`gitcD&8bW#jTEYPLlb4yX1f(m{3qBzmB4Dmc8OGi;?Y*9X$!Om{gXYwc!Gz9YS zmk06n7kuml?-mxdWkN!~90~?IL#99D;YW@JlP7T(MZdXWdJ0zol2 zn(pyn>2}zzm&w)a9uhP#o`&4XjIhhkca#4)CHGq!`AQ!N4rbEZu4+rq<~Fu8 zU8S5xpS&4}Hol4lEJbL{%aflgBFbZ9N2&gnj2yJITy+%-Z~8D#F^_TJu3M($F&)O( zmyZ5TFu^<-~RGU^>mPxeFk}vrIP|uy$ zriBa-Jh1kGG7Y8FPWaggCoH(9?Vc2401xf6nx(H0%sm)>>--19{>dGk5G* zuwX%3o}dUL`NDB^;vHdke%n<{@;s&6sLLP7J+OC}65bF#Fp!=r@7t*}@s4nA4h|ep z6ziSJ=lkhdM|eWm=cXXsfqKz;wmOA8*(^QBVz!hqeGu30TpJaJ-5Ys@(GG%oft2$v zOa+vNo^-kvsE3>mk!1>Ha`0W8!6Zoe#!tlUMZi$$1AX*JMeB0;g$(Dk7_L&Pf16<= zORdX9b2Tk4raiEb1E+E6JXgg_70ob-7I{c0JM|Eyp@>~Ocyd4pnde^TV(iu3u;7+; zv{G7GWlC|=9!xNvv4|Qruq8a& zL6om(sL*7Dc09s@Y21xcz!xz==PLc^6Kb^T2g`No$De!H_?jtpmJisPRU~#%wvC1B zQHG76d}^qho+=h66C(VaYq3dwBDbn6lZ!d8k^}7@9qj4$1WgPg4Z}58*aWozqL`C< z!pTmY!uSuFnj;~tGD+>iVh`-?#K&7S_$@_zH)|+hbjH=FK8Ud9oipQjslg&fC8VqI zfZdZoJD{;*sSJq3=z$ZFo4D&TW<^+cT#JgcsDdn**x!q-2zF!ltDx=;mTCuCR?Vys z4_KHXkI>g?Dx*+OAlk*cLp~#jZ_@HN1d13i!KWXQnevG+=V@k;8D^SMrcaFoq7e%l zmEk)`rRsnxn@&VRU7U=x+8z&Lz}4WhArHi@o>-abrq#qKp4D{lv3HtZ%s0K5fL{@v z=K;la3P}1f#a^2%XI}H3y7+I&z=*aRA18P2Bk%7ex2xVK*B-ffK1L!hQqt+ca7fopBsmshk^1~ zSdOnI@2bZ_k3jv%!U~6iYrrV|@+ft0G9c2k#Kb`?lZihb5(nG$Yp$oD8!N~y#Vp)k z(UCFwD?~~m=Ae0Qd1$&p+6!z(z(DV zhnz5dg*QZ%f^@kY7b#Qm84wpCI$ujsDY=D`VKjiF4HM*#32XFPFb2F9j`;aBox2DP zjl|40zSDzL=;!)q#K(KZ zCb{@=GMUETSa-uia^2@3)D($|xuTWO(>0Xb&y3EV&_TK)U%L$ zVcU_@kO^;~_eOdXi4`Om8pU~Z8jlfCWeZn?qg3m7i$-Bi#LSFWb)4=k&wRIy+$-CYSCPxw!gW<`byxN6#I7Zv%f5XXf3AQFmzJzs zPDAJ+(*OK=Y%iI(C8qO{?Q$c;XI?8lC9H zT-SPzytPrY|AM1FHy3I5_4*{68+hl$H0Lkvd#g5ybyX)G#vD+I^%th>`b(EQC%vR^ zUk=9!#>KSM;jRKiuQvf$@oo-A~3cxkFJM@E*XTrVHh;Blz zm_BPrL^rbX$ykr1Rox5y$IvY0}b+C?&kEzHr@8W(4ZR6VjqLALAkl(=D0) zh)?mvkxyK5opKIh+{J4&Q#}o*PNNb-$WR#}_(E_a;Dyk8MY{NOlE}3OTrs{cREkXV z75Sa!WloA zxt0?UxQekEu^gO{l<@JR=_r?UXeYdU%H+9za-H5NT!YaxipGT@ss^2Z;Mg%0!qhc! zsq7w{5kn=*F`(*nHHtd*%olBDnWlUhS)4RxIqdR+ZsEDMD2F>#p`4_|Xo|Ex1mz9T zxj}!-Abp>6E@)1k)5*GV$&I^>#Suq&Oi`Pea^h!_!ULpX3pRC~OyTt*Cq#5zAWNDF zXK;ik0eCKyxkgw~VvHwl0|gt_>FELgQ#8%SK9h){Svq7|6oUw~Wv>OEHn{XrCKJUn zaZC}G9*6L`8BV~~ObL*gZUit}&f}nElFU?1aq&#+RpujOFq07}f|U5`b((1G;vzmK z^qYP|H&wi75Dlnm4@T{N+c0(JF%2sc^HAmnMf9k|ol|xVpbn@S6r5`-kR5tNAZi2y zX9ZiUi;*4p2~-$rLy0iIVj(;z!utZMYFJ$vk$EGaFM^>CkThk1&Vd+r(GXN-slHxc z)ZuGf-xjerufl@~eUlC;LM22}&reid4cJ+bknrQ2Bmj_yIIjk#nwn_^dV;-?Gu;)LqNmKK*HMterkP6hNUvSRNKzYMZ^G{ z{9jbP4fLbD8LS9+vEg5W*&#iOZ5@?poKDt&;>Snk5_qYq%=8MXWsk|{ICJ40Ox>NM zj&Ovt(lMq}82qjyxnPio@M%kz-&yT-bY+!sD*zRlIzv}sfFnXN}`pzz0D zTKSU8AGGV8+ngWw>kFcvZx7z zPi;O|fSYAw#tD%ToDu!xCUVI7J8snA5Jc7ZLH$1!xu^i;}{Otg>5^3F8u7XlVNp};IFywwbv=F z&d$yx)ymwZ%>~->U~@w`V63SrH~!gYZ)WNymDdRK*qcdinkL#M9wCLj1@wNP#t ztHpkHa8`Gh7WH`h#@yamnSoVKJ%+rGq&>y5#H3 z%;Bbk0eEwzI+DY8OdTbXnt3{okUOM-C?7@gA=6?6?2dw|yF^_Px*bMQQP~=#6-6AX z27*rX>oYBYnMY(Jq>wGQqB3K6cp8)svKqmU^j#8ubjq+ElwyhTgwUb=r>W(T+lA~< z3MEKWO9+LC%4A_6=91&1OrfR4L^GvP%!il&S)% zGmuUPFKS)LP1U0i$7x*__abuI0w!H_!6hDuTlC^hNLYvy1LLZMs4xoUk(tNIWZ-k6 z%*bSry)+{xL?$^Fel%D>wa_ruDOuD9zRx3y1C3mwdWYEy?NaLx*hmd-H-0&C^tyB8xf(Xba4aaEt{e-Apsl3k%nx}4ZNZc1 zibTvvBp&ND{oN6|eKUmpgnN#>%hMY{*|{8xb%}B`Otmv*!N{{srwAEWng%rRDgbaCQpFy=ob#YXdo8 zimrGPO2X*rvJJvO!2>V6Z!wS@mEc;yI5^jW&u0F#+{%;NkRvS+c3X4Yjw9&}?sVAY zyKZ6m!LT4w`1?FwdsC@$KY#x~a>fSI7)ajwlDM=YAJcX_nMr-P*uHq>KynO3hist~ z24%?jr6fj*CjaR;ik^&>3C)0h{T=`(bIwI*ySH;w^)e> zpKiKmK|axU!W}V#TukYiJ!y1tE%FOJA$Q}rR!B;IUV$0bhUC>UW4qtXp$@7Txwv^q zYas^(Dv3(TJ4$KAET7FwC`ytg5KWP@$cB{?cBOnYMxuPUa_I1eoS{(Kn`x?;X1Ywm zmk#H~h;v3cHgjW^4(lngsFSRkF;YT!#N%h@#*`@-f?pTicdy9LdK`S5&0LoM1V{*XOACf4IJX1a!gJ~M@bhQtLYpQ05 zX(rj_M2RCu1zG^pkWUjzA$}C<2jR#cS1EyvdgaxyqJU`|=o2>N#tO&>%kpUkeab6E zXaP7u^4fu@mH1$PlAK~V9+SJ`=&%lwW2#IuGi+h@&tO51 zH-p8!q6uVb=4uQ!40M%1SGpMh{`{2?FisaqTGVafXdTRxk#$U0G1f`boDPhAv~>8; z`rZZ<`q&^+DHY`_tVzr>3MKCi zHK%S>G%G(!qr-CDe2s&{!E7Ertk!fGSA}EOsKcCtB?BA;m-jwSg3!L z&Ub)&OY5YyrSDK6K)tiSiW>)KhCKdlAmEHZuuNQx zN^;wLc565UWlr^#(@jkH(&1F9xl3_Vg1;LNR*KRSH|y{f*kH^&e5pGri*2$GXEx}0 z)?p-@r+vsz&H+nk4ybXc6%J<(V*FG*{IzhXF)n3)$BkFMQc8a4We**F_X&qSl~O4j z_2lX^OUVzt?7NTN`lmymO6e31_1L*|>QefVLSUearWpTzq>A)6m|0m1e}k1Oi*(Qs zkmtuigHjrj2uK7Z0uljBQqo~uA@TRz@?_a10ulj-0%s-9Q27}qpTe5U$G%DaZSPT%iaq)suvX-ppDKSf<& z-oEn_;TlD~+5X|&PhL>-q`J|5Xy??WNA#$D_Tw#$R_y}M$JozzpI{F=%G1oAZTZyh zVXt{su@`rq+CTh3&m;EUxu;F2yTS9CePE{%4n(vG9W};``9Ys{PRFQqv#tp~g*T8t z)_7+8$nR(mDaXanT07!P+8+{OcJB82$=;EXIg38GyZ$xrY~{S2&HW?Sd6#swHh!^w z)OFr9$`$bojvXELJ{P&6??PjYT2-4^X)K&SW?R+i$}QGcE+2by)m4!@`z|rZ&8xCH z?rZ$&ZR1B(J)QWedF9>Xe_O34HrtEWPq?%Cgv3sE&6bG^t1n9Y&ba=WNguENcE>A= zZrnZT)#@iAZ(Ga$*s!*GpUQTc`}He*pV%}E?{kEv`Q}d_tFQ8f-tu*A`nd7+z)|ac zk4~R9_nY+}yUzD&^t6`vn8_#m$8I`v(XA6E@AIFzsoDIF{_#irH>$1X?fTJ6{A<)J z7JYxhCrXVB_XLi)rsk4OcQoGX`{eO8tEc}v zyN`YH&6;hS*6;q0xBp>n%|6v$x9*i=FFoRDb;qKIpPhR25zW(|HGZ;v>YgKF>L24v5$IU-t&!!LNJ|1s8vNkZInr&Lr_>0=pHy;__+A?ir?G-a7HEy4I zd{gcBI*+k`KIQoRwNG}QWc<>1!n)dhorb;hl@l)+_VLcM8h^Frq=sStG~)}_udS1Q zJ*5MmEAMAVM*v~8({;KD(z?*M}kEt8kxn2MBBjM-j zBAb8J_|DSPzEO9@=I0ythfn`Z-R+xSZG6A~^w;aQcD}72c%sW))j z%yWa2VxNtS2+w>kIA_awdq(a);|Ia3wF_Ivn6o|`yhFQm&v<>-pM%@9Yg#96n0h4|hmPu-^M6Oqh~2vQGHxnbUMkDN7XMBSDr79Srz>yZ(sXgfPjw9lSDqDA{{$H~Uo`$u$X zFLs=|;hgV|cwoz)TEnNDd(wzqGxtYMUw7`WMtrzJ4MrxQ^}ee1Hwg)h#ZI4W?)%GQNb=HEZ+#I4_2e9`4!Y#KFx>*~dAYrpu^sHH1< zTQ7NK!Iwr^XRMj`Reizhqc(4SICRB%=ie~uW$(}SUe$GhcXW++OYh?K7sN*&yY(0I zuHOBnaih;YJxKYZ@cX)GsezeIY0QFrC-@N_WG&|A6$J=+sR|Uz4GG7zs_k}H}>I`S0=tc z<>F6_eR|e))9z#!-#PYAPb}-X>)A_2jH{g$+ta)DlCE*b%(^-BZ%Z%fA2+M&)_M2L zy!6U(3s?T@;vdysx_exERd2_=J1?6%?t4}DcC3kC_V~D;Jn_KdwR67ukK_J()=%c$ z&%XM-ac``AEcC$o%YEa0D>py=V9VuKkN?=p|LS(%o;zxYS)85 zIq!=5$A7Kr`Or`Mt{gS~8&AC0^Jv$VOUK_c>$N>Un{efx@f%m}owi}!RWrx$nzgTW zWB95cjqj^E(DC?|Mg9rC*`D6SoJE&S&{z3;H*H_^d$xlPv5oiiI-NNzj)WN*S;`u>8h62XD_;L>cn{USEl`D^>sH) z{I}{$_x^VKbz3L?c-xh|&%J$p-Nfyyu8#bE%=Pmp{&v;%iRVwb-kSKwRZAl;%)kES zi6723dv`BdGIdhjsujwf*pjbJ(zkU-{x`nl$CIY5`ey4NzQ1JOr0LZ?9WPopoHFTi zv%lT*e|O)oWYWUw+dE#eZuse>#oO*^eR=f_?@a2b?w$5Z*NxLA#jEe$`|6S#FQ0Vh zwtIVDYq{}9lm27&+G(%PyzzxeKb!r)gMXZS)96W0&VG2>8|8F$SRzDVe^O~Dpn{;5CJ*_XibV5T-_2$T4w)CuqQMh&NwU;h!2yJ_E z+Mky!UDGgi_73H(#-+O&PFeNKr{CJW%+oMq_R}42-@I&U!#UN@gx+af_W6eSvw!{I zJI^d@Z&+CUo6x(9mfh3v)ossp?DH-AdBe5Uzu&X(`^)+ome1ZD+&^Xch=x_w{~OuA ze)&la-wBjx|9r!DSH0Bw-XqJeYq)FnEA!rOTz*@_54XKG?frX~KiF`8_3L{- z7_qA4K8_v}qYS>Mum|Lm+Vs>19evOsp zxbcd)EC;_z!^P~D%da%OjQY%K2j!-h8^6-@54wKo>dTi7vAzy^{{P1FliRNHE2K0h zH-2t7w>}1vyY1I?bK@WCu$!*yqV$c=-L13A{u+;B8XMi%%apk-un@iWh7u?$lkOi# z&e*j#m9W5`zrU1}WXZ!$?DYS>1h@=mqszdA-B}tF$bm^IRJlfJR1P~NNjCBNHH}9p zXe$mTOU&X~rgk!5E4a3l1wwTI`CkDhRgWiuNxGd3Q06i)8K9jE)Q 64) + SA_DFT: DFT GENERIC MAP(BINS => 96) PORT MAP( CLK => clk160, RESET => dft_reset, diff --git a/Software/PC_Application/Device/device.cpp b/Software/PC_Application/Device/device.cpp index 88c598d..439cfe7 100644 --- a/Software/PC_Application/Device/device.cpp +++ b/Software/PC_Application/Device/device.cpp @@ -129,12 +129,12 @@ static constexpr Protocol::DeviceInfo defaultInfo = { .limits_minFreq = 0, .limits_maxFreq = 6000000000, .limits_minIFBW = 10, - .limits_maxIFBW = 50000, - .limits_maxPoints = 4501, - .limits_cdbm_min = -4000, - .limits_cdbm_max = 0, - .limits_minRBW = 15, - .limits_maxRBW = 100000, + .limits_maxIFBW = 1000000, + .limits_maxPoints = 10000, + .limits_cdbm_min = -10000, + .limits_cdbm_max = 1000, + .limits_minRBW = 1, + .limits_maxRBW = 1000000, }; Protocol::DeviceInfo Device::lastInfo = defaultInfo; diff --git a/Software/PC_Application/SpectrumAnalyzer/spectrumanalyzer.cpp b/Software/PC_Application/SpectrumAnalyzer/spectrumanalyzer.cpp index 252cffc..a1c612e 100644 --- a/Software/PC_Application/SpectrumAnalyzer/spectrumanalyzer.cpp +++ b/Software/PC_Application/SpectrumAnalyzer/spectrumanalyzer.cpp @@ -232,8 +232,8 @@ using namespace std; void SpectrumAnalyzer::NewDatapoint(Protocol::SpectrumAnalyzerResult d) { // TODO level adjustment in device - d.port1 /= pow(10.0, 7.5); - d.port2 /= pow(10.0, 7.5); + d.port1 /= 126500000.0; + d.port2 /= 126500000.0; d = average.process(d); traceModel.addSAData(d); emit dataChanged(); diff --git a/Software/VNA_embedded/Application/Drivers/FPGA/FPGA.hpp b/Software/VNA_embedded/Application/Drivers/FPGA/FPGA.hpp index cae5e3e..b6624ad 100644 --- a/Software/VNA_embedded/Application/Drivers/FPGA/FPGA.hpp +++ b/Software/VNA_embedded/Application/Drivers/FPGA/FPGA.hpp @@ -6,7 +6,7 @@ namespace FPGA { static constexpr uint16_t MaxPoints = 4501; -static constexpr uint16_t DFTbins = 64; +static constexpr uint16_t DFTbins = 96; static constexpr uint32_t Clockrate = 102400000UL; enum class Reg {