diff --git a/fpga/.gitignore b/fpga/.gitignore
old mode 100644
new mode 100755
diff --git a/fpga/dds/.gitignore b/fpga/dds/.gitignore
new file mode 100644
index 0000000..a3af5ba
--- /dev/null
+++ b/fpga/dds/.gitignore
@@ -0,0 +1,4 @@
+*.pyc
+*.gen.vhd
+bin/
+*.csv
diff --git a/fpga/dds/dds-core.vhd b/fpga/dds/dds-core.vhd
new file mode 100644
index 0000000..24b7c8d
--- /dev/null
+++ b/fpga/dds/dds-core.vhd
@@ -0,0 +1,50 @@
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+use IEEE.NUMERIC_STD.ALL;
+
+--Direct Digital Synthesis Core
+--Copyright (C) 2017 David Shah
+--Licensed under the MIT License
+
+entity dds_core is
+ generic (
+ N : natural := 24; --frequency word size
+ M : natural := 12 --phase out size (M <= N)
+ );
+ port (
+ clock : in std_logic; --control and synthesis clock
+ reset : in std_logic; --sync reset
+ enable : in std_logic;
+ freq_val : in std_logic_vector(N-1 downto 0); --frequency setting word
+ phase_val : in std_logic_vector(N-1 downto 0); --phase setting word
+ phase_load : in std_logic; --update phase
+ phase_out : out std_logic_vector(M-1 downto 0) --phase output
+ );
+end dds_core;
+
+architecture Behavioral of dds_core is
+
+ signal freq_reg : unsigned(N-1 downto 0) := (others => '0');
+ signal phase_acc : unsigned(N-1 downto 0) := (others => '0');
+ signal phase_out_reg : std_logic_vector(M-1 downto 0) := (others => '0');
+begin
+ process(clock)
+ begin
+ if rising_edge(clock) then
+ if reset = '1' then
+ freq_reg <= (others => '0');
+ phase_acc <= (others => '0');
+ phase_out_reg <= (others => '0');
+ elsif enable = '1' then
+ freq_reg <= unsigned(freq_val);
+ if phase_load = '1' then
+ phase_acc <= unsigned(phase_val);
+ else
+ phase_acc <= phase_acc + freq_reg;
+ end if;
+ phase_out_reg <= std_logic_vector(phase_acc(N-1 downto (N-M)));
+ end if;
+ end if;
+ end process;
+ phase_out <= phase_out_reg;
+end Behavioral;
diff --git a/fpga/dds/dds-iq-sine-gen.vhd b/fpga/dds/dds-iq-sine-gen.vhd
new file mode 100644
index 0000000..7c82bfb
--- /dev/null
+++ b/fpga/dds/dds-iq-sine-gen.vhd
@@ -0,0 +1,84 @@
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+use IEEE.NUMERIC_STD.ALL;
+
+--IQ DDS generator
+--Copyright (C) 2017 David Shah
+--Licensed under the MIT License
+
+entity dds_iq_sine_gen is
+ port(
+ clock : in std_logic;
+ reset : in std_logic;
+ enable : in std_logic;
+ frequency : in std_logic_vector(23 downto 0); --frequency setting word
+ global_phase : in std_logic_vector(23 downto 0); --global phase setting
+ global_phase_load : in std_logic; --assert to update phase
+ q_phase_offset : in std_logic_vector(11 downto 0); --phase shift between I and Q
+ i_amplitude : in std_logic_vector(7 downto 0); --I and Q amplitude scaling
+ q_amplitude : in std_logic_vector(7 downto 0);
+
+ i_out : out std_logic_vector(11 downto 0);
+ q_out : out std_logic_vector(11 downto 0)
+ );
+end dds_iq_sine_gen;
+
+architecture Behavioral of dds_iq_sine_gen is
+
+ signal dds_phase : std_logic_vector(11 downto 0);
+ signal i_phase_d, q_phase_d, i_phase_q, q_phase_q : std_logic_vector(11 downto 0);
+ signal i_sine, q_sine : std_logic_vector(11 downto 0);
+ signal i_scaled_d, q_scaled_d, i_scaled_q, q_scaled_q : std_logic_vector(19 downto 0);
+
+begin
+ dds : entity work.dds_core
+ generic map(
+ N => 24,
+ M => 12)
+ port map(
+ clock => clock,
+ reset => reset,
+ enable => enable,
+ freq_val => frequency,
+ phase_val => global_phase,
+ phase_load => global_phase_load,
+ phase_out => dds_phase);
+
+ i_sine_tbl : entity work.dds_sine_table
+ port map(
+ clock => clock,
+ address => i_phase_q,
+ data => i_sine);
+
+ q_sine_tbl : entity work.dds_sine_table
+ port map(
+ clock => clock,
+ address => q_phase_q,
+ data => q_sine);
+
+ i_phase_d <= dds_phase;
+ q_phase_d <= std_logic_vector(unsigned(dds_phase) + unsigned(q_phase_offset));
+
+ i_scaled_d <= std_logic_vector(resize(signed(i_sine) * signed("0" & i_amplitude), 20));
+ q_scaled_d <= std_logic_vector(resize(signed(q_sine) * signed("0" & q_amplitude), 20));
+
+ i_out <= i_scaled_q(19 downto 8);
+ q_out <= q_scaled_q(19 downto 8);
+
+ process(clock)
+ begin
+ if rising_edge(clock) then
+ if reset = '1' then
+ i_phase_q <= (others => '0');
+ q_phase_q <= (others => '0');
+ i_scaled_q <= (others => '0');
+ q_scaled_q <= (others => '0');
+ elsif enable = '1' then
+ i_phase_q <= i_phase_d;
+ q_phase_q <= q_phase_d;
+ i_scaled_q <= i_scaled_d;
+ q_scaled_q <= q_scaled_d;
+ end if;
+ end if;
+ end process;
+end Behavioral;
diff --git a/fpga/dds/dds-testbench.vhd b/fpga/dds/dds-testbench.vhd
new file mode 100644
index 0000000..a1c8ba5
--- /dev/null
+++ b/fpga/dds/dds-testbench.vhd
@@ -0,0 +1,69 @@
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+use IEEE.NUMERIC_STD.ALL;
+use STD.textio.ALL;
+
+entity dds_testbench is
+end dds_testbench;
+
+architecture Behavioral of dds_testbench is
+
+ signal clock : std_logic := '0';
+ signal i_val, q_val : std_logic_vector(11 downto 0);
+ signal frequency : std_logic_vector(23 downto 0);
+ signal q_phase : std_logic_vector(11 downto 0);
+ signal i_amp, q_amp : std_logic_vector(7 downto 0);
+
+ file outfile : text;
+begin
+
+ frequency <= x"051EB8"; --1MHz with a 50MHz clock
+ q_phase <= x"400"; --90 degree offset
+ i_amp <= x"FF";
+ q_amp <= x"7F";
+
+ gen : entity work.dds_iq_sine_gen
+ port map(
+ clock => clock,
+ reset => '0',
+ enable => '1',
+ frequency => frequency,
+ global_phase => x"000000",
+ global_phase_load => '0',
+ q_phase_offset => q_phase,
+ i_amplitude => i_amp,
+ q_amplitude => q_amp,
+ i_out => i_val,
+ q_out => q_val);
+
+ process
+ variable i_tmp, q_tmp : integer;
+ variable oline : line;
+ begin
+
+ file_open(outfile, "output.csv", write_mode);
+ write(oline, string'("t, i, q,"));
+ writeline(outfile, oline);
+ for i in 1 to 1000 loop
+ wait for 10 ns;
+ clock <= '1';
+ wait for 10 ns;
+ clock <= '0';
+
+ i_tmp := to_integer(signed(i_val));
+ q_tmp := to_integer(signed(q_val));
+
+ write(oline, (now / 1 ns), left, 4);
+ write(oline, string'(", "));
+ write(oline, i_tmp, left, 5);
+ write(oline, string'(", "));
+ write(oline, q_tmp, left, 5);
+ write(oline, string'(", "));
+
+ writeline(outfile, oline);
+ end loop;
+
+ file_close(outfile);
+ wait;
+ end process;
+end Behavioral;
diff --git a/fpga/dds/graphview.py b/fpga/dds/graphview.py
new file mode 100644
index 0000000..b99f5e0
--- /dev/null
+++ b/fpga/dds/graphview.py
@@ -0,0 +1,33 @@
+# -*- coding: utf-8 -*-
+"""
+Created on Sun Nov 15 15:54:00 2015
+
+@author: David
+"""
+import matplotlib.pyplot as plt
+import sys
+filename = sys.argv[1]
+
+times = []
+titles = []
+values = []
+spacing = 0
+
+with open(filename) as f:
+ lines = f.readlines()
+ header = lines[0]
+ splitHeader = header.split(",")
+ titles = splitHeader[1:-1]
+ for i in range(0, len(titles)):
+ values.append([])
+ for line in lines[1:]:
+ splitLine = line.split(",")
+ for i in range(1, len(titles)+1):
+ values[i-1].append(float(splitLine[i]) + ((i - 1) * spacing))
+ times.append(float(splitLine[0]))
+ for i in range(0, len(titles)):
+ plt.plot(times, values[i], label=titles[i])
+
+ plt.gca().axes.get_yaxis().set_ticks([])
+ plt.legend(bbox_to_anchor=(1.02, 1), loc=2, borderaxespad=0.)
+ plt.show()
diff --git a/fpga/dds/sin-table-gen.py b/fpga/dds/sin-table-gen.py
new file mode 100644
index 0000000..5d1e37b
--- /dev/null
+++ b/fpga/dds/sin-table-gen.py
@@ -0,0 +1,40 @@
+import math
+addr_bits = 12
+data_bits = 12
+
+def to_bin(x, n):
+ return ('{0:0' + str(n) + 'b}').format(x)[-n:]
+
+with open("dds-sine-table.gen.vhd", 'w') as f:
+ f.write("--Autogenerated sine table - do not modify\n")
+ f.write("library IEEE;\n")
+ f.write("use IEEE.STD_LOGIC_1164.ALL;\n")
+ f.write("use IEEE.NUMERIC_STD.ALL;\n\n")
+ f.write("entity dds_sine_table is\n")
+ f.write("\tport(\n")
+ f.write("\t\tclock : in std_logic;\n")
+ f.write("\t\taddress : in std_logic_vector(" + str(addr_bits - 1) + " downto 0);\n")
+ f.write("\t\tdata : out std_logic_vector(" + str(data_bits - 1) + " downto 0));\n")
+ f.write("end dds_sine_table;\n\n")
+ f.write("architecture Behavioral of dds_sine_table is\n")
+ f.write("begin\n")
+ f.write("\tprocess(clock)\n")
+ f.write("\tbegin\n")
+ f.write("\t\tif rising_edge(clock) then\n")
+ f.write("\t\t\tcase address is\n")
+ for x in range(0, 2**addr_bits):
+ val = math.sin(2.0 * math.pi * (x / float(2**addr_bits)))
+ val *= (2**(data_bits - 1) - 1)
+ val = int(val)
+ if val < 0:
+ val = abs(val)
+ val = val ^ ((2**data_bits) - 1)
+ val += 1
+ f.write("\t\t\t\twhen \"" + to_bin(x,addr_bits) + "\" => \n")
+ f.write("\t\t\t\t\tdata <= \"" + to_bin(val, data_bits) + "\";\n")
+ f.write("\t\t\t\twhen others => \n")
+ f.write("\t\t\t\t\tdata <= \"" + to_bin(0, data_bits) + "\";\n")
+ f.write("\t\t\tend case;\n")
+ f.write("\t\tend if;\n")
+ f.write("\tend process;\n")
+ f.write("end Behavioral;\n")
diff --git a/fpga/projects/rx_only/rx_only.cache/ip/4d72b0fa095d8e42/4d72b0fa095d8e42.xci b/fpga/projects/rx_only/rx_only.cache/ip/4d72b0fa095d8e42/4d72b0fa095d8e42.xci
new file mode 100644
index 0000000..c7dc70c
--- /dev/null
+++ b/fpga/projects/rx_only/rx_only.cache/ip/4d72b0fa095d8e42/4d72b0fa095d8e42.xci
@@ -0,0 +1,204 @@
+
+
+ xilinx.com
+ ipcache
+ 4d72b0fa095d8e42
+ 0
+
+
+ iq_sample_fifo
+
+
+ 32
+ 0
+ 0
+ false
+ false
+ false
+ 0
+ 0
+ Slave_Interface_Clock_Enable
+ Common_Clock
+ iq_sample_fifo
+ 64
+ false
+ 13
+ false
+ false
+ 0
+ 2
+ 1022
+ 1022
+ 1022
+ 1022
+ 1022
+ 1022
+ 3
+ false
+ false
+ false
+ false
+ false
+ false
+ false
+ false
+ false
+ Hard_ECC
+ false
+ false
+ false
+ false
+ false
+ false
+ true
+ false
+ false
+ true
+ Data_FIFO
+ Data_FIFO
+ Data_FIFO
+ Data_FIFO
+ Data_FIFO
+ Data_FIFO
+ Common_Clock_Block_RAM
+ Common_Clock_Block_RAM
+ Common_Clock_Block_RAM
+ Common_Clock_Block_RAM
+ Common_Clock_Block_RAM
+ Common_Clock_Block_RAM
+ Independent_Clocks_Block_RAM
+ 0
+ 8189
+ 1023
+ 1023
+ 1023
+ 1023
+ 1023
+ 1023
+ 8188
+ false
+ false
+ false
+ 0
+ Native
+ false
+ false
+ false
+ false
+ false
+ false
+ false
+ false
+ false
+ false
+ false
+ false
+ false
+ false
+ 24
+ 8192
+ 1024
+ 16
+ 1024
+ 16
+ 1024
+ 16
+ false
+ 24
+ 8192
+ Embedded_Reg
+ false
+ false
+ Active_High
+ Active_High
+ AXI4
+ Standard_FIFO
+ No_Programmable_Empty_Threshold
+ No_Programmable_Empty_Threshold
+ No_Programmable_Empty_Threshold
+ No_Programmable_Empty_Threshold
+ No_Programmable_Empty_Threshold
+ No_Programmable_Empty_Threshold
+ No_Programmable_Empty_Threshold
+ No_Programmable_Full_Threshold
+ No_Programmable_Full_Threshold
+ No_Programmable_Full_Threshold
+ No_Programmable_Full_Threshold
+ No_Programmable_Full_Threshold
+ No_Programmable_Full_Threshold
+ No_Programmable_Full_Threshold
+ READ_WRITE
+ 0
+ 1
+ false
+ 13
+ Fully_Registered
+ Fully_Registered
+ Fully_Registered
+ Fully_Registered
+ Fully_Registered
+ Fully_Registered
+ false
+ Asynchronous_Reset
+ false
+ 1
+ 0
+ 0
+ 1
+ 1
+ 4
+ false
+ false
+ Active_High
+ Active_High
+ false
+ false
+ false
+ false
+ false
+ Active_High
+ 0
+ false
+ Active_High
+ 1
+ false
+ 13
+ false
+ FIFO
+ false
+ false
+ false
+ false
+ FIFO
+ FIFO
+ 2
+ 2
+ false
+ FIFO
+ FIFO
+ FIFO
+ artix7
+
+ xc7a50t
+ ftg256
+ VHDL
+
+ MIXED
+ -2
+
+ TRUE
+ TRUE
+ f9fab666
+ 4d72b0fa095d8e42
+ IP_Unknown
+ 2
+ TRUE
+ .
+
+ .
+ 2016.3
+ GLOBAL
+
+
+
+
diff --git a/fpga/projects/rx_only/rx_only.cache/ip/4d72b0fa095d8e42/iq_sample_fifo_sim_netlist.v b/fpga/projects/rx_only/rx_only.cache/ip/4d72b0fa095d8e42/iq_sample_fifo_sim_netlist.v
new file mode 100755
index 0000000..3db3634
--- /dev/null
+++ b/fpga/projects/rx_only/rx_only.cache/ip/4d72b0fa095d8e42/iq_sample_fifo_sim_netlist.v
@@ -0,0 +1,7497 @@
+// Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
+// --------------------------------------------------------------------------------
+// Tool Version: Vivado v.2016.3 (lin64) Build 1682563 Mon Oct 10 19:07:26 MDT 2016
+// Date : Sat Apr 15 09:49:57 2017
+// Host : david-desktop-arch running 64-bit unknown
+// Command : write_verilog -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
+// decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ iq_sample_fifo_sim_netlist.v
+// Design : iq_sample_fifo
+// Purpose : This verilog netlist is a functional simulation representation of the design and should not be modified
+// or synthesized. This netlist cannot be used for SDF annotated simulation.
+// Device : xc7a50tftg256-2
+// --------------------------------------------------------------------------------
+`timescale 1 ps / 1 ps
+
+(* CHECK_LICENSE_TYPE = "iq_sample_fifo,fifo_generator_v13_1_2,{}" *) (* downgradeipidentifiedwarnings = "yes" *) (* x_core_info = "fifo_generator_v13_1_2,Vivado 2016.3" *)
+(* NotValidForBitStream *)
+module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix
+ (wr_clk,
+ rd_clk,
+ din,
+ wr_en,
+ rd_en,
+ dout,
+ full,
+ empty);
+ (* x_interface_info = "xilinx.com:signal:clock:1.0 write_clk CLK" *) input wr_clk;
+ (* x_interface_info = "xilinx.com:signal:clock:1.0 read_clk CLK" *) input rd_clk;
+ (* x_interface_info = "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_DATA" *) input [23:0]din;
+ (* x_interface_info = "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_EN" *) input wr_en;
+ (* x_interface_info = "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_EN" *) input rd_en;
+ (* x_interface_info = "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_DATA" *) output [23:0]dout;
+ (* x_interface_info = "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE FULL" *) output full;
+ (* x_interface_info = "xilinx.com:interface:fifo_read:1.0 FIFO_READ EMPTY" *) output empty;
+
+ wire [23:0]din;
+ wire [23:0]dout;
+ wire empty;
+ wire full;
+ wire rd_clk;
+ wire rd_en;
+ wire wr_clk;
+ wire wr_en;
+ wire NLW_U0_almost_empty_UNCONNECTED;
+ wire NLW_U0_almost_full_UNCONNECTED;
+ wire NLW_U0_axi_ar_dbiterr_UNCONNECTED;
+ wire NLW_U0_axi_ar_overflow_UNCONNECTED;
+ wire NLW_U0_axi_ar_prog_empty_UNCONNECTED;
+ wire NLW_U0_axi_ar_prog_full_UNCONNECTED;
+ wire NLW_U0_axi_ar_sbiterr_UNCONNECTED;
+ wire NLW_U0_axi_ar_underflow_UNCONNECTED;
+ wire NLW_U0_axi_aw_dbiterr_UNCONNECTED;
+ wire NLW_U0_axi_aw_overflow_UNCONNECTED;
+ wire NLW_U0_axi_aw_prog_empty_UNCONNECTED;
+ wire NLW_U0_axi_aw_prog_full_UNCONNECTED;
+ wire NLW_U0_axi_aw_sbiterr_UNCONNECTED;
+ wire NLW_U0_axi_aw_underflow_UNCONNECTED;
+ wire NLW_U0_axi_b_dbiterr_UNCONNECTED;
+ wire NLW_U0_axi_b_overflow_UNCONNECTED;
+ wire NLW_U0_axi_b_prog_empty_UNCONNECTED;
+ wire NLW_U0_axi_b_prog_full_UNCONNECTED;
+ wire NLW_U0_axi_b_sbiterr_UNCONNECTED;
+ wire NLW_U0_axi_b_underflow_UNCONNECTED;
+ wire NLW_U0_axi_r_dbiterr_UNCONNECTED;
+ wire NLW_U0_axi_r_overflow_UNCONNECTED;
+ wire NLW_U0_axi_r_prog_empty_UNCONNECTED;
+ wire NLW_U0_axi_r_prog_full_UNCONNECTED;
+ wire NLW_U0_axi_r_sbiterr_UNCONNECTED;
+ wire NLW_U0_axi_r_underflow_UNCONNECTED;
+ wire NLW_U0_axi_w_dbiterr_UNCONNECTED;
+ wire NLW_U0_axi_w_overflow_UNCONNECTED;
+ wire NLW_U0_axi_w_prog_empty_UNCONNECTED;
+ wire NLW_U0_axi_w_prog_full_UNCONNECTED;
+ wire NLW_U0_axi_w_sbiterr_UNCONNECTED;
+ wire NLW_U0_axi_w_underflow_UNCONNECTED;
+ wire NLW_U0_axis_dbiterr_UNCONNECTED;
+ wire NLW_U0_axis_overflow_UNCONNECTED;
+ wire NLW_U0_axis_prog_empty_UNCONNECTED;
+ wire NLW_U0_axis_prog_full_UNCONNECTED;
+ wire NLW_U0_axis_sbiterr_UNCONNECTED;
+ wire NLW_U0_axis_underflow_UNCONNECTED;
+ wire NLW_U0_dbiterr_UNCONNECTED;
+ wire NLW_U0_m_axi_arvalid_UNCONNECTED;
+ wire NLW_U0_m_axi_awvalid_UNCONNECTED;
+ wire NLW_U0_m_axi_bready_UNCONNECTED;
+ wire NLW_U0_m_axi_rready_UNCONNECTED;
+ wire NLW_U0_m_axi_wlast_UNCONNECTED;
+ wire NLW_U0_m_axi_wvalid_UNCONNECTED;
+ wire NLW_U0_m_axis_tlast_UNCONNECTED;
+ wire NLW_U0_m_axis_tvalid_UNCONNECTED;
+ wire NLW_U0_overflow_UNCONNECTED;
+ wire NLW_U0_prog_empty_UNCONNECTED;
+ wire NLW_U0_prog_full_UNCONNECTED;
+ wire NLW_U0_rd_rst_busy_UNCONNECTED;
+ wire NLW_U0_s_axi_arready_UNCONNECTED;
+ wire NLW_U0_s_axi_awready_UNCONNECTED;
+ wire NLW_U0_s_axi_bvalid_UNCONNECTED;
+ wire NLW_U0_s_axi_rlast_UNCONNECTED;
+ wire NLW_U0_s_axi_rvalid_UNCONNECTED;
+ wire NLW_U0_s_axi_wready_UNCONNECTED;
+ wire NLW_U0_s_axis_tready_UNCONNECTED;
+ wire NLW_U0_sbiterr_UNCONNECTED;
+ wire NLW_U0_underflow_UNCONNECTED;
+ wire NLW_U0_valid_UNCONNECTED;
+ wire NLW_U0_wr_ack_UNCONNECTED;
+ wire NLW_U0_wr_rst_busy_UNCONNECTED;
+ wire [4:0]NLW_U0_axi_ar_data_count_UNCONNECTED;
+ wire [4:0]NLW_U0_axi_ar_rd_data_count_UNCONNECTED;
+ wire [4:0]NLW_U0_axi_ar_wr_data_count_UNCONNECTED;
+ wire [4:0]NLW_U0_axi_aw_data_count_UNCONNECTED;
+ wire [4:0]NLW_U0_axi_aw_rd_data_count_UNCONNECTED;
+ wire [4:0]NLW_U0_axi_aw_wr_data_count_UNCONNECTED;
+ wire [4:0]NLW_U0_axi_b_data_count_UNCONNECTED;
+ wire [4:0]NLW_U0_axi_b_rd_data_count_UNCONNECTED;
+ wire [4:0]NLW_U0_axi_b_wr_data_count_UNCONNECTED;
+ wire [10:0]NLW_U0_axi_r_data_count_UNCONNECTED;
+ wire [10:0]NLW_U0_axi_r_rd_data_count_UNCONNECTED;
+ wire [10:0]NLW_U0_axi_r_wr_data_count_UNCONNECTED;
+ wire [10:0]NLW_U0_axi_w_data_count_UNCONNECTED;
+ wire [10:0]NLW_U0_axi_w_rd_data_count_UNCONNECTED;
+ wire [10:0]NLW_U0_axi_w_wr_data_count_UNCONNECTED;
+ wire [10:0]NLW_U0_axis_data_count_UNCONNECTED;
+ wire [10:0]NLW_U0_axis_rd_data_count_UNCONNECTED;
+ wire [10:0]NLW_U0_axis_wr_data_count_UNCONNECTED;
+ wire [12:0]NLW_U0_data_count_UNCONNECTED;
+ wire [31:0]NLW_U0_m_axi_araddr_UNCONNECTED;
+ wire [1:0]NLW_U0_m_axi_arburst_UNCONNECTED;
+ wire [3:0]NLW_U0_m_axi_arcache_UNCONNECTED;
+ wire [0:0]NLW_U0_m_axi_arid_UNCONNECTED;
+ wire [7:0]NLW_U0_m_axi_arlen_UNCONNECTED;
+ wire [0:0]NLW_U0_m_axi_arlock_UNCONNECTED;
+ wire [2:0]NLW_U0_m_axi_arprot_UNCONNECTED;
+ wire [3:0]NLW_U0_m_axi_arqos_UNCONNECTED;
+ wire [3:0]NLW_U0_m_axi_arregion_UNCONNECTED;
+ wire [2:0]NLW_U0_m_axi_arsize_UNCONNECTED;
+ wire [0:0]NLW_U0_m_axi_aruser_UNCONNECTED;
+ wire [31:0]NLW_U0_m_axi_awaddr_UNCONNECTED;
+ wire [1:0]NLW_U0_m_axi_awburst_UNCONNECTED;
+ wire [3:0]NLW_U0_m_axi_awcache_UNCONNECTED;
+ wire [0:0]NLW_U0_m_axi_awid_UNCONNECTED;
+ wire [7:0]NLW_U0_m_axi_awlen_UNCONNECTED;
+ wire [0:0]NLW_U0_m_axi_awlock_UNCONNECTED;
+ wire [2:0]NLW_U0_m_axi_awprot_UNCONNECTED;
+ wire [3:0]NLW_U0_m_axi_awqos_UNCONNECTED;
+ wire [3:0]NLW_U0_m_axi_awregion_UNCONNECTED;
+ wire [2:0]NLW_U0_m_axi_awsize_UNCONNECTED;
+ wire [0:0]NLW_U0_m_axi_awuser_UNCONNECTED;
+ wire [63:0]NLW_U0_m_axi_wdata_UNCONNECTED;
+ wire [0:0]NLW_U0_m_axi_wid_UNCONNECTED;
+ wire [7:0]NLW_U0_m_axi_wstrb_UNCONNECTED;
+ wire [0:0]NLW_U0_m_axi_wuser_UNCONNECTED;
+ wire [7:0]NLW_U0_m_axis_tdata_UNCONNECTED;
+ wire [0:0]NLW_U0_m_axis_tdest_UNCONNECTED;
+ wire [0:0]NLW_U0_m_axis_tid_UNCONNECTED;
+ wire [0:0]NLW_U0_m_axis_tkeep_UNCONNECTED;
+ wire [0:0]NLW_U0_m_axis_tstrb_UNCONNECTED;
+ wire [3:0]NLW_U0_m_axis_tuser_UNCONNECTED;
+ wire [12:0]NLW_U0_rd_data_count_UNCONNECTED;
+ wire [0:0]NLW_U0_s_axi_bid_UNCONNECTED;
+ wire [1:0]NLW_U0_s_axi_bresp_UNCONNECTED;
+ wire [0:0]NLW_U0_s_axi_buser_UNCONNECTED;
+ wire [63:0]NLW_U0_s_axi_rdata_UNCONNECTED;
+ wire [0:0]NLW_U0_s_axi_rid_UNCONNECTED;
+ wire [1:0]NLW_U0_s_axi_rresp_UNCONNECTED;
+ wire [0:0]NLW_U0_s_axi_ruser_UNCONNECTED;
+ wire [12:0]NLW_U0_wr_data_count_UNCONNECTED;
+
+ (* C_ADD_NGC_CONSTRAINT = "0" *)
+ (* C_APPLICATION_TYPE_AXIS = "0" *)
+ (* C_APPLICATION_TYPE_RACH = "0" *)
+ (* C_APPLICATION_TYPE_RDCH = "0" *)
+ (* C_APPLICATION_TYPE_WACH = "0" *)
+ (* C_APPLICATION_TYPE_WDCH = "0" *)
+ (* C_APPLICATION_TYPE_WRCH = "0" *)
+ (* C_AXIS_TDATA_WIDTH = "8" *)
+ (* C_AXIS_TDEST_WIDTH = "1" *)
+ (* C_AXIS_TID_WIDTH = "1" *)
+ (* C_AXIS_TKEEP_WIDTH = "1" *)
+ (* C_AXIS_TSTRB_WIDTH = "1" *)
+ (* C_AXIS_TUSER_WIDTH = "4" *)
+ (* C_AXIS_TYPE = "0" *)
+ (* C_AXI_ADDR_WIDTH = "32" *)
+ (* C_AXI_ARUSER_WIDTH = "1" *)
+ (* C_AXI_AWUSER_WIDTH = "1" *)
+ (* C_AXI_BUSER_WIDTH = "1" *)
+ (* C_AXI_DATA_WIDTH = "64" *)
+ (* C_AXI_ID_WIDTH = "1" *)
+ (* C_AXI_LEN_WIDTH = "8" *)
+ (* C_AXI_LOCK_WIDTH = "1" *)
+ (* C_AXI_RUSER_WIDTH = "1" *)
+ (* C_AXI_TYPE = "1" *)
+ (* C_AXI_WUSER_WIDTH = "1" *)
+ (* C_COMMON_CLOCK = "0" *)
+ (* C_COUNT_TYPE = "0" *)
+ (* C_DATA_COUNT_WIDTH = "13" *)
+ (* C_DEFAULT_VALUE = "BlankString" *)
+ (* C_DIN_WIDTH = "24" *)
+ (* C_DIN_WIDTH_AXIS = "1" *)
+ (* C_DIN_WIDTH_RACH = "32" *)
+ (* C_DIN_WIDTH_RDCH = "64" *)
+ (* C_DIN_WIDTH_WACH = "1" *)
+ (* C_DIN_WIDTH_WDCH = "64" *)
+ (* C_DIN_WIDTH_WRCH = "2" *)
+ (* C_DOUT_RST_VAL = "0" *)
+ (* C_DOUT_WIDTH = "24" *)
+ (* C_ENABLE_RLOCS = "0" *)
+ (* C_ENABLE_RST_SYNC = "1" *)
+ (* C_EN_SAFETY_CKT = "0" *)
+ (* C_ERROR_INJECTION_TYPE = "0" *)
+ (* C_ERROR_INJECTION_TYPE_AXIS = "0" *)
+ (* C_ERROR_INJECTION_TYPE_RACH = "0" *)
+ (* C_ERROR_INJECTION_TYPE_RDCH = "0" *)
+ (* C_ERROR_INJECTION_TYPE_WACH = "0" *)
+ (* C_ERROR_INJECTION_TYPE_WDCH = "0" *)
+ (* C_ERROR_INJECTION_TYPE_WRCH = "0" *)
+ (* C_FAMILY = "artix7" *)
+ (* C_FULL_FLAGS_RST_VAL = "0" *)
+ (* C_HAS_ALMOST_EMPTY = "0" *)
+ (* C_HAS_ALMOST_FULL = "0" *)
+ (* C_HAS_AXIS_TDATA = "1" *)
+ (* C_HAS_AXIS_TDEST = "0" *)
+ (* C_HAS_AXIS_TID = "0" *)
+ (* C_HAS_AXIS_TKEEP = "0" *)
+ (* C_HAS_AXIS_TLAST = "0" *)
+ (* C_HAS_AXIS_TREADY = "1" *)
+ (* C_HAS_AXIS_TSTRB = "0" *)
+ (* C_HAS_AXIS_TUSER = "1" *)
+ (* C_HAS_AXI_ARUSER = "0" *)
+ (* C_HAS_AXI_AWUSER = "0" *)
+ (* C_HAS_AXI_BUSER = "0" *)
+ (* C_HAS_AXI_ID = "0" *)
+ (* C_HAS_AXI_RD_CHANNEL = "1" *)
+ (* C_HAS_AXI_RUSER = "0" *)
+ (* C_HAS_AXI_WR_CHANNEL = "1" *)
+ (* C_HAS_AXI_WUSER = "0" *)
+ (* C_HAS_BACKUP = "0" *)
+ (* C_HAS_DATA_COUNT = "0" *)
+ (* C_HAS_DATA_COUNTS_AXIS = "0" *)
+ (* C_HAS_DATA_COUNTS_RACH = "0" *)
+ (* C_HAS_DATA_COUNTS_RDCH = "0" *)
+ (* C_HAS_DATA_COUNTS_WACH = "0" *)
+ (* C_HAS_DATA_COUNTS_WDCH = "0" *)
+ (* C_HAS_DATA_COUNTS_WRCH = "0" *)
+ (* C_HAS_INT_CLK = "0" *)
+ (* C_HAS_MASTER_CE = "0" *)
+ (* C_HAS_MEMINIT_FILE = "0" *)
+ (* C_HAS_OVERFLOW = "0" *)
+ (* C_HAS_PROG_FLAGS_AXIS = "0" *)
+ (* C_HAS_PROG_FLAGS_RACH = "0" *)
+ (* C_HAS_PROG_FLAGS_RDCH = "0" *)
+ (* C_HAS_PROG_FLAGS_WACH = "0" *)
+ (* C_HAS_PROG_FLAGS_WDCH = "0" *)
+ (* C_HAS_PROG_FLAGS_WRCH = "0" *)
+ (* C_HAS_RD_DATA_COUNT = "0" *)
+ (* C_HAS_RD_RST = "0" *)
+ (* C_HAS_RST = "0" *)
+ (* C_HAS_SLAVE_CE = "0" *)
+ (* C_HAS_SRST = "0" *)
+ (* C_HAS_UNDERFLOW = "0" *)
+ (* C_HAS_VALID = "0" *)
+ (* C_HAS_WR_ACK = "0" *)
+ (* C_HAS_WR_DATA_COUNT = "0" *)
+ (* C_HAS_WR_RST = "0" *)
+ (* C_IMPLEMENTATION_TYPE = "2" *)
+ (* C_IMPLEMENTATION_TYPE_AXIS = "1" *)
+ (* C_IMPLEMENTATION_TYPE_RACH = "1" *)
+ (* C_IMPLEMENTATION_TYPE_RDCH = "1" *)
+ (* C_IMPLEMENTATION_TYPE_WACH = "1" *)
+ (* C_IMPLEMENTATION_TYPE_WDCH = "1" *)
+ (* C_IMPLEMENTATION_TYPE_WRCH = "1" *)
+ (* C_INIT_WR_PNTR_VAL = "0" *)
+ (* C_INTERFACE_TYPE = "0" *)
+ (* C_MEMORY_TYPE = "1" *)
+ (* C_MIF_FILE_NAME = "BlankString" *)
+ (* C_MSGON_VAL = "1" *)
+ (* C_OPTIMIZATION_MODE = "0" *)
+ (* C_OVERFLOW_LOW = "0" *)
+ (* C_POWER_SAVING_MODE = "0" *)
+ (* C_PRELOAD_LATENCY = "1" *)
+ (* C_PRELOAD_REGS = "0" *)
+ (* C_PRIM_FIFO_TYPE = "8kx4" *)
+ (* C_PRIM_FIFO_TYPE_AXIS = "1kx18" *)
+ (* C_PRIM_FIFO_TYPE_RACH = "512x36" *)
+ (* C_PRIM_FIFO_TYPE_RDCH = "1kx36" *)
+ (* C_PRIM_FIFO_TYPE_WACH = "512x36" *)
+ (* C_PRIM_FIFO_TYPE_WDCH = "1kx36" *)
+ (* C_PRIM_FIFO_TYPE_WRCH = "512x36" *)
+ (* C_PROG_EMPTY_THRESH_ASSERT_VAL = "2" *)
+ (* C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS = "1022" *)
+ (* C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH = "1022" *)
+ (* C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH = "1022" *)
+ (* C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH = "1022" *)
+ (* C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH = "1022" *)
+ (* C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH = "1022" *)
+ (* C_PROG_EMPTY_THRESH_NEGATE_VAL = "3" *)
+ (* C_PROG_EMPTY_TYPE = "0" *)
+ (* C_PROG_EMPTY_TYPE_AXIS = "0" *)
+ (* C_PROG_EMPTY_TYPE_RACH = "0" *)
+ (* C_PROG_EMPTY_TYPE_RDCH = "0" *)
+ (* C_PROG_EMPTY_TYPE_WACH = "0" *)
+ (* C_PROG_EMPTY_TYPE_WDCH = "0" *)
+ (* C_PROG_EMPTY_TYPE_WRCH = "0" *)
+ (* C_PROG_FULL_THRESH_ASSERT_VAL = "8189" *)
+ (* C_PROG_FULL_THRESH_ASSERT_VAL_AXIS = "1023" *)
+ (* C_PROG_FULL_THRESH_ASSERT_VAL_RACH = "1023" *)
+ (* C_PROG_FULL_THRESH_ASSERT_VAL_RDCH = "1023" *)
+ (* C_PROG_FULL_THRESH_ASSERT_VAL_WACH = "1023" *)
+ (* C_PROG_FULL_THRESH_ASSERT_VAL_WDCH = "1023" *)
+ (* C_PROG_FULL_THRESH_ASSERT_VAL_WRCH = "1023" *)
+ (* C_PROG_FULL_THRESH_NEGATE_VAL = "8188" *)
+ (* C_PROG_FULL_TYPE = "0" *)
+ (* C_PROG_FULL_TYPE_AXIS = "0" *)
+ (* C_PROG_FULL_TYPE_RACH = "0" *)
+ (* C_PROG_FULL_TYPE_RDCH = "0" *)
+ (* C_PROG_FULL_TYPE_WACH = "0" *)
+ (* C_PROG_FULL_TYPE_WDCH = "0" *)
+ (* C_PROG_FULL_TYPE_WRCH = "0" *)
+ (* C_RACH_TYPE = "0" *)
+ (* C_RDCH_TYPE = "0" *)
+ (* C_RD_DATA_COUNT_WIDTH = "13" *)
+ (* C_RD_DEPTH = "8192" *)
+ (* C_RD_FREQ = "1" *)
+ (* C_RD_PNTR_WIDTH = "13" *)
+ (* C_REG_SLICE_MODE_AXIS = "0" *)
+ (* C_REG_SLICE_MODE_RACH = "0" *)
+ (* C_REG_SLICE_MODE_RDCH = "0" *)
+ (* C_REG_SLICE_MODE_WACH = "0" *)
+ (* C_REG_SLICE_MODE_WDCH = "0" *)
+ (* C_REG_SLICE_MODE_WRCH = "0" *)
+ (* C_SELECT_XPM = "0" *)
+ (* C_SYNCHRONIZER_STAGE = "2" *)
+ (* C_UNDERFLOW_LOW = "0" *)
+ (* C_USE_COMMON_OVERFLOW = "0" *)
+ (* C_USE_COMMON_UNDERFLOW = "0" *)
+ (* C_USE_DEFAULT_SETTINGS = "0" *)
+ (* C_USE_DOUT_RST = "0" *)
+ (* C_USE_ECC = "0" *)
+ (* C_USE_ECC_AXIS = "0" *)
+ (* C_USE_ECC_RACH = "0" *)
+ (* C_USE_ECC_RDCH = "0" *)
+ (* C_USE_ECC_WACH = "0" *)
+ (* C_USE_ECC_WDCH = "0" *)
+ (* C_USE_ECC_WRCH = "0" *)
+ (* C_USE_EMBEDDED_REG = "0" *)
+ (* C_USE_FIFO16_FLAGS = "0" *)
+ (* C_USE_FWFT_DATA_COUNT = "0" *)
+ (* C_USE_PIPELINE_REG = "0" *)
+ (* C_VALID_LOW = "0" *)
+ (* C_WACH_TYPE = "0" *)
+ (* C_WDCH_TYPE = "0" *)
+ (* C_WRCH_TYPE = "0" *)
+ (* C_WR_ACK_LOW = "0" *)
+ (* C_WR_DATA_COUNT_WIDTH = "13" *)
+ (* C_WR_DEPTH = "8192" *)
+ (* C_WR_DEPTH_AXIS = "1024" *)
+ (* C_WR_DEPTH_RACH = "16" *)
+ (* C_WR_DEPTH_RDCH = "1024" *)
+ (* C_WR_DEPTH_WACH = "16" *)
+ (* C_WR_DEPTH_WDCH = "1024" *)
+ (* C_WR_DEPTH_WRCH = "16" *)
+ (* C_WR_FREQ = "1" *)
+ (* C_WR_PNTR_WIDTH = "13" *)
+ (* C_WR_PNTR_WIDTH_AXIS = "10" *)
+ (* C_WR_PNTR_WIDTH_RACH = "4" *)
+ (* C_WR_PNTR_WIDTH_RDCH = "10" *)
+ (* C_WR_PNTR_WIDTH_WACH = "4" *)
+ (* C_WR_PNTR_WIDTH_WDCH = "10" *)
+ (* C_WR_PNTR_WIDTH_WRCH = "4" *)
+ (* C_WR_RESPONSE_LATENCY = "1" *)
+ decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 U0
+ (.almost_empty(NLW_U0_almost_empty_UNCONNECTED),
+ .almost_full(NLW_U0_almost_full_UNCONNECTED),
+ .axi_ar_data_count(NLW_U0_axi_ar_data_count_UNCONNECTED[4:0]),
+ .axi_ar_dbiterr(NLW_U0_axi_ar_dbiterr_UNCONNECTED),
+ .axi_ar_injectdbiterr(1'b0),
+ .axi_ar_injectsbiterr(1'b0),
+ .axi_ar_overflow(NLW_U0_axi_ar_overflow_UNCONNECTED),
+ .axi_ar_prog_empty(NLW_U0_axi_ar_prog_empty_UNCONNECTED),
+ .axi_ar_prog_empty_thresh({1'b0,1'b0,1'b0,1'b0}),
+ .axi_ar_prog_full(NLW_U0_axi_ar_prog_full_UNCONNECTED),
+ .axi_ar_prog_full_thresh({1'b0,1'b0,1'b0,1'b0}),
+ .axi_ar_rd_data_count(NLW_U0_axi_ar_rd_data_count_UNCONNECTED[4:0]),
+ .axi_ar_sbiterr(NLW_U0_axi_ar_sbiterr_UNCONNECTED),
+ .axi_ar_underflow(NLW_U0_axi_ar_underflow_UNCONNECTED),
+ .axi_ar_wr_data_count(NLW_U0_axi_ar_wr_data_count_UNCONNECTED[4:0]),
+ .axi_aw_data_count(NLW_U0_axi_aw_data_count_UNCONNECTED[4:0]),
+ .axi_aw_dbiterr(NLW_U0_axi_aw_dbiterr_UNCONNECTED),
+ .axi_aw_injectdbiterr(1'b0),
+ .axi_aw_injectsbiterr(1'b0),
+ .axi_aw_overflow(NLW_U0_axi_aw_overflow_UNCONNECTED),
+ .axi_aw_prog_empty(NLW_U0_axi_aw_prog_empty_UNCONNECTED),
+ .axi_aw_prog_empty_thresh({1'b0,1'b0,1'b0,1'b0}),
+ .axi_aw_prog_full(NLW_U0_axi_aw_prog_full_UNCONNECTED),
+ .axi_aw_prog_full_thresh({1'b0,1'b0,1'b0,1'b0}),
+ .axi_aw_rd_data_count(NLW_U0_axi_aw_rd_data_count_UNCONNECTED[4:0]),
+ .axi_aw_sbiterr(NLW_U0_axi_aw_sbiterr_UNCONNECTED),
+ .axi_aw_underflow(NLW_U0_axi_aw_underflow_UNCONNECTED),
+ .axi_aw_wr_data_count(NLW_U0_axi_aw_wr_data_count_UNCONNECTED[4:0]),
+ .axi_b_data_count(NLW_U0_axi_b_data_count_UNCONNECTED[4:0]),
+ .axi_b_dbiterr(NLW_U0_axi_b_dbiterr_UNCONNECTED),
+ .axi_b_injectdbiterr(1'b0),
+ .axi_b_injectsbiterr(1'b0),
+ .axi_b_overflow(NLW_U0_axi_b_overflow_UNCONNECTED),
+ .axi_b_prog_empty(NLW_U0_axi_b_prog_empty_UNCONNECTED),
+ .axi_b_prog_empty_thresh({1'b0,1'b0,1'b0,1'b0}),
+ .axi_b_prog_full(NLW_U0_axi_b_prog_full_UNCONNECTED),
+ .axi_b_prog_full_thresh({1'b0,1'b0,1'b0,1'b0}),
+ .axi_b_rd_data_count(NLW_U0_axi_b_rd_data_count_UNCONNECTED[4:0]),
+ .axi_b_sbiterr(NLW_U0_axi_b_sbiterr_UNCONNECTED),
+ .axi_b_underflow(NLW_U0_axi_b_underflow_UNCONNECTED),
+ .axi_b_wr_data_count(NLW_U0_axi_b_wr_data_count_UNCONNECTED[4:0]),
+ .axi_r_data_count(NLW_U0_axi_r_data_count_UNCONNECTED[10:0]),
+ .axi_r_dbiterr(NLW_U0_axi_r_dbiterr_UNCONNECTED),
+ .axi_r_injectdbiterr(1'b0),
+ .axi_r_injectsbiterr(1'b0),
+ .axi_r_overflow(NLW_U0_axi_r_overflow_UNCONNECTED),
+ .axi_r_prog_empty(NLW_U0_axi_r_prog_empty_UNCONNECTED),
+ .axi_r_prog_empty_thresh({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}),
+ .axi_r_prog_full(NLW_U0_axi_r_prog_full_UNCONNECTED),
+ .axi_r_prog_full_thresh({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}),
+ .axi_r_rd_data_count(NLW_U0_axi_r_rd_data_count_UNCONNECTED[10:0]),
+ .axi_r_sbiterr(NLW_U0_axi_r_sbiterr_UNCONNECTED),
+ .axi_r_underflow(NLW_U0_axi_r_underflow_UNCONNECTED),
+ .axi_r_wr_data_count(NLW_U0_axi_r_wr_data_count_UNCONNECTED[10:0]),
+ .axi_w_data_count(NLW_U0_axi_w_data_count_UNCONNECTED[10:0]),
+ .axi_w_dbiterr(NLW_U0_axi_w_dbiterr_UNCONNECTED),
+ .axi_w_injectdbiterr(1'b0),
+ .axi_w_injectsbiterr(1'b0),
+ .axi_w_overflow(NLW_U0_axi_w_overflow_UNCONNECTED),
+ .axi_w_prog_empty(NLW_U0_axi_w_prog_empty_UNCONNECTED),
+ .axi_w_prog_empty_thresh({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}),
+ .axi_w_prog_full(NLW_U0_axi_w_prog_full_UNCONNECTED),
+ .axi_w_prog_full_thresh({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}),
+ .axi_w_rd_data_count(NLW_U0_axi_w_rd_data_count_UNCONNECTED[10:0]),
+ .axi_w_sbiterr(NLW_U0_axi_w_sbiterr_UNCONNECTED),
+ .axi_w_underflow(NLW_U0_axi_w_underflow_UNCONNECTED),
+ .axi_w_wr_data_count(NLW_U0_axi_w_wr_data_count_UNCONNECTED[10:0]),
+ .axis_data_count(NLW_U0_axis_data_count_UNCONNECTED[10:0]),
+ .axis_dbiterr(NLW_U0_axis_dbiterr_UNCONNECTED),
+ .axis_injectdbiterr(1'b0),
+ .axis_injectsbiterr(1'b0),
+ .axis_overflow(NLW_U0_axis_overflow_UNCONNECTED),
+ .axis_prog_empty(NLW_U0_axis_prog_empty_UNCONNECTED),
+ .axis_prog_empty_thresh({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}),
+ .axis_prog_full(NLW_U0_axis_prog_full_UNCONNECTED),
+ .axis_prog_full_thresh({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}),
+ .axis_rd_data_count(NLW_U0_axis_rd_data_count_UNCONNECTED[10:0]),
+ .axis_sbiterr(NLW_U0_axis_sbiterr_UNCONNECTED),
+ .axis_underflow(NLW_U0_axis_underflow_UNCONNECTED),
+ .axis_wr_data_count(NLW_U0_axis_wr_data_count_UNCONNECTED[10:0]),
+ .backup(1'b0),
+ .backup_marker(1'b0),
+ .clk(1'b0),
+ .data_count(NLW_U0_data_count_UNCONNECTED[12:0]),
+ .dbiterr(NLW_U0_dbiterr_UNCONNECTED),
+ .din(din),
+ .dout(dout),
+ .empty(empty),
+ .full(full),
+ .injectdbiterr(1'b0),
+ .injectsbiterr(1'b0),
+ .int_clk(1'b0),
+ .m_aclk(1'b0),
+ .m_aclk_en(1'b0),
+ .m_axi_araddr(NLW_U0_m_axi_araddr_UNCONNECTED[31:0]),
+ .m_axi_arburst(NLW_U0_m_axi_arburst_UNCONNECTED[1:0]),
+ .m_axi_arcache(NLW_U0_m_axi_arcache_UNCONNECTED[3:0]),
+ .m_axi_arid(NLW_U0_m_axi_arid_UNCONNECTED[0]),
+ .m_axi_arlen(NLW_U0_m_axi_arlen_UNCONNECTED[7:0]),
+ .m_axi_arlock(NLW_U0_m_axi_arlock_UNCONNECTED[0]),
+ .m_axi_arprot(NLW_U0_m_axi_arprot_UNCONNECTED[2:0]),
+ .m_axi_arqos(NLW_U0_m_axi_arqos_UNCONNECTED[3:0]),
+ .m_axi_arready(1'b0),
+ .m_axi_arregion(NLW_U0_m_axi_arregion_UNCONNECTED[3:0]),
+ .m_axi_arsize(NLW_U0_m_axi_arsize_UNCONNECTED[2:0]),
+ .m_axi_aruser(NLW_U0_m_axi_aruser_UNCONNECTED[0]),
+ .m_axi_arvalid(NLW_U0_m_axi_arvalid_UNCONNECTED),
+ .m_axi_awaddr(NLW_U0_m_axi_awaddr_UNCONNECTED[31:0]),
+ .m_axi_awburst(NLW_U0_m_axi_awburst_UNCONNECTED[1:0]),
+ .m_axi_awcache(NLW_U0_m_axi_awcache_UNCONNECTED[3:0]),
+ .m_axi_awid(NLW_U0_m_axi_awid_UNCONNECTED[0]),
+ .m_axi_awlen(NLW_U0_m_axi_awlen_UNCONNECTED[7:0]),
+ .m_axi_awlock(NLW_U0_m_axi_awlock_UNCONNECTED[0]),
+ .m_axi_awprot(NLW_U0_m_axi_awprot_UNCONNECTED[2:0]),
+ .m_axi_awqos(NLW_U0_m_axi_awqos_UNCONNECTED[3:0]),
+ .m_axi_awready(1'b0),
+ .m_axi_awregion(NLW_U0_m_axi_awregion_UNCONNECTED[3:0]),
+ .m_axi_awsize(NLW_U0_m_axi_awsize_UNCONNECTED[2:0]),
+ .m_axi_awuser(NLW_U0_m_axi_awuser_UNCONNECTED[0]),
+ .m_axi_awvalid(NLW_U0_m_axi_awvalid_UNCONNECTED),
+ .m_axi_bid(1'b0),
+ .m_axi_bready(NLW_U0_m_axi_bready_UNCONNECTED),
+ .m_axi_bresp({1'b0,1'b0}),
+ .m_axi_buser(1'b0),
+ .m_axi_bvalid(1'b0),
+ .m_axi_rdata({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}),
+ .m_axi_rid(1'b0),
+ .m_axi_rlast(1'b0),
+ .m_axi_rready(NLW_U0_m_axi_rready_UNCONNECTED),
+ .m_axi_rresp({1'b0,1'b0}),
+ .m_axi_ruser(1'b0),
+ .m_axi_rvalid(1'b0),
+ .m_axi_wdata(NLW_U0_m_axi_wdata_UNCONNECTED[63:0]),
+ .m_axi_wid(NLW_U0_m_axi_wid_UNCONNECTED[0]),
+ .m_axi_wlast(NLW_U0_m_axi_wlast_UNCONNECTED),
+ .m_axi_wready(1'b0),
+ .m_axi_wstrb(NLW_U0_m_axi_wstrb_UNCONNECTED[7:0]),
+ .m_axi_wuser(NLW_U0_m_axi_wuser_UNCONNECTED[0]),
+ .m_axi_wvalid(NLW_U0_m_axi_wvalid_UNCONNECTED),
+ .m_axis_tdata(NLW_U0_m_axis_tdata_UNCONNECTED[7:0]),
+ .m_axis_tdest(NLW_U0_m_axis_tdest_UNCONNECTED[0]),
+ .m_axis_tid(NLW_U0_m_axis_tid_UNCONNECTED[0]),
+ .m_axis_tkeep(NLW_U0_m_axis_tkeep_UNCONNECTED[0]),
+ .m_axis_tlast(NLW_U0_m_axis_tlast_UNCONNECTED),
+ .m_axis_tready(1'b0),
+ .m_axis_tstrb(NLW_U0_m_axis_tstrb_UNCONNECTED[0]),
+ .m_axis_tuser(NLW_U0_m_axis_tuser_UNCONNECTED[3:0]),
+ .m_axis_tvalid(NLW_U0_m_axis_tvalid_UNCONNECTED),
+ .overflow(NLW_U0_overflow_UNCONNECTED),
+ .prog_empty(NLW_U0_prog_empty_UNCONNECTED),
+ .prog_empty_thresh({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}),
+ .prog_empty_thresh_assert({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}),
+ .prog_empty_thresh_negate({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}),
+ .prog_full(NLW_U0_prog_full_UNCONNECTED),
+ .prog_full_thresh({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}),
+ .prog_full_thresh_assert({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}),
+ .prog_full_thresh_negate({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}),
+ .rd_clk(rd_clk),
+ .rd_data_count(NLW_U0_rd_data_count_UNCONNECTED[12:0]),
+ .rd_en(rd_en),
+ .rd_rst(1'b0),
+ .rd_rst_busy(NLW_U0_rd_rst_busy_UNCONNECTED),
+ .rst(1'b0),
+ .s_aclk(1'b0),
+ .s_aclk_en(1'b0),
+ .s_aresetn(1'b0),
+ .s_axi_araddr({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}),
+ .s_axi_arburst({1'b0,1'b0}),
+ .s_axi_arcache({1'b0,1'b0,1'b0,1'b0}),
+ .s_axi_arid(1'b0),
+ .s_axi_arlen({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}),
+ .s_axi_arlock(1'b0),
+ .s_axi_arprot({1'b0,1'b0,1'b0}),
+ .s_axi_arqos({1'b0,1'b0,1'b0,1'b0}),
+ .s_axi_arready(NLW_U0_s_axi_arready_UNCONNECTED),
+ .s_axi_arregion({1'b0,1'b0,1'b0,1'b0}),
+ .s_axi_arsize({1'b0,1'b0,1'b0}),
+ .s_axi_aruser(1'b0),
+ .s_axi_arvalid(1'b0),
+ .s_axi_awaddr({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}),
+ .s_axi_awburst({1'b0,1'b0}),
+ .s_axi_awcache({1'b0,1'b0,1'b0,1'b0}),
+ .s_axi_awid(1'b0),
+ .s_axi_awlen({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}),
+ .s_axi_awlock(1'b0),
+ .s_axi_awprot({1'b0,1'b0,1'b0}),
+ .s_axi_awqos({1'b0,1'b0,1'b0,1'b0}),
+ .s_axi_awready(NLW_U0_s_axi_awready_UNCONNECTED),
+ .s_axi_awregion({1'b0,1'b0,1'b0,1'b0}),
+ .s_axi_awsize({1'b0,1'b0,1'b0}),
+ .s_axi_awuser(1'b0),
+ .s_axi_awvalid(1'b0),
+ .s_axi_bid(NLW_U0_s_axi_bid_UNCONNECTED[0]),
+ .s_axi_bready(1'b0),
+ .s_axi_bresp(NLW_U0_s_axi_bresp_UNCONNECTED[1:0]),
+ .s_axi_buser(NLW_U0_s_axi_buser_UNCONNECTED[0]),
+ .s_axi_bvalid(NLW_U0_s_axi_bvalid_UNCONNECTED),
+ .s_axi_rdata(NLW_U0_s_axi_rdata_UNCONNECTED[63:0]),
+ .s_axi_rid(NLW_U0_s_axi_rid_UNCONNECTED[0]),
+ .s_axi_rlast(NLW_U0_s_axi_rlast_UNCONNECTED),
+ .s_axi_rready(1'b0),
+ .s_axi_rresp(NLW_U0_s_axi_rresp_UNCONNECTED[1:0]),
+ .s_axi_ruser(NLW_U0_s_axi_ruser_UNCONNECTED[0]),
+ .s_axi_rvalid(NLW_U0_s_axi_rvalid_UNCONNECTED),
+ .s_axi_wdata({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}),
+ .s_axi_wid(1'b0),
+ .s_axi_wlast(1'b0),
+ .s_axi_wready(NLW_U0_s_axi_wready_UNCONNECTED),
+ .s_axi_wstrb({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}),
+ .s_axi_wuser(1'b0),
+ .s_axi_wvalid(1'b0),
+ .s_axis_tdata({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}),
+ .s_axis_tdest(1'b0),
+ .s_axis_tid(1'b0),
+ .s_axis_tkeep(1'b0),
+ .s_axis_tlast(1'b0),
+ .s_axis_tready(NLW_U0_s_axis_tready_UNCONNECTED),
+ .s_axis_tstrb(1'b0),
+ .s_axis_tuser({1'b0,1'b0,1'b0,1'b0}),
+ .s_axis_tvalid(1'b0),
+ .sbiterr(NLW_U0_sbiterr_UNCONNECTED),
+ .sleep(1'b0),
+ .srst(1'b0),
+ .underflow(NLW_U0_underflow_UNCONNECTED),
+ .valid(NLW_U0_valid_UNCONNECTED),
+ .wr_ack(NLW_U0_wr_ack_UNCONNECTED),
+ .wr_clk(wr_clk),
+ .wr_data_count(NLW_U0_wr_data_count_UNCONNECTED[12:0]),
+ .wr_en(wr_en),
+ .wr_rst(1'b0),
+ .wr_rst_busy(NLW_U0_wr_rst_busy_UNCONNECTED));
+endmodule
+
+module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_generic_cstr
+ (dout,
+ \no_softecc_sel_reg.ce_pri.sel_pipe_reg[0] ,
+ wr_clk,
+ rd_clk,
+ ram_full_fb_i_reg,
+ ram_empty_fb_i_reg,
+ Q,
+ \gc0.count_d1_reg[12] ,
+ din,
+ WEA,
+ ram_full_fb_i_reg_0,
+ ram_empty_fb_i_reg_0,
+ ena_array,
+ enb_array,
+ \gc0.count_d1_reg[12]_0 );
+ output [23:0]dout;
+ output \no_softecc_sel_reg.ce_pri.sel_pipe_reg[0] ;
+ input wr_clk;
+ input rd_clk;
+ input ram_full_fb_i_reg;
+ input ram_empty_fb_i_reg;
+ input [12:0]Q;
+ input [12:0]\gc0.count_d1_reg[12] ;
+ input [23:0]din;
+ input [0:0]WEA;
+ input ram_full_fb_i_reg_0;
+ input ram_empty_fb_i_reg_0;
+ input [0:0]ena_array;
+ input [0:0]enb_array;
+ input \gc0.count_d1_reg[12]_0 ;
+
+ wire [12:0]Q;
+ wire [0:0]WEA;
+ wire [23:0]din;
+ wire [23:0]dout;
+ wire [0:0]ena_array;
+ wire [0:0]enb_array;
+ wire [12:0]\gc0.count_d1_reg[12] ;
+ wire \gc0.count_d1_reg[12]_0 ;
+ wire \no_softecc_sel_reg.ce_pri.sel_pipe_reg[0] ;
+ wire ram_empty_fb_i_reg;
+ wire ram_empty_fb_i_reg_0;
+ wire ram_full_fb_i_reg;
+ wire ram_full_fb_i_reg_0;
+ wire \ramloop[2].ram.r_n_0 ;
+ wire \ramloop[2].ram.r_n_1 ;
+ wire \ramloop[2].ram.r_n_2 ;
+ wire \ramloop[2].ram.r_n_3 ;
+ wire \ramloop[2].ram.r_n_4 ;
+ wire \ramloop[2].ram.r_n_5 ;
+ wire \ramloop[2].ram.r_n_6 ;
+ wire \ramloop[2].ram.r_n_7 ;
+ wire \ramloop[2].ram.r_n_8 ;
+ wire \ramloop[3].ram.r_n_0 ;
+ wire \ramloop[3].ram.r_n_1 ;
+ wire \ramloop[3].ram.r_n_2 ;
+ wire \ramloop[3].ram.r_n_3 ;
+ wire \ramloop[3].ram.r_n_4 ;
+ wire \ramloop[3].ram.r_n_5 ;
+ wire \ramloop[3].ram.r_n_6 ;
+ wire \ramloop[3].ram.r_n_7 ;
+ wire \ramloop[3].ram.r_n_8 ;
+ wire \ramloop[4].ram.r_n_0 ;
+ wire \ramloop[4].ram.r_n_1 ;
+ wire \ramloop[4].ram.r_n_2 ;
+ wire \ramloop[4].ram.r_n_3 ;
+ wire \ramloop[4].ram.r_n_4 ;
+ wire \ramloop[4].ram.r_n_5 ;
+ wire \ramloop[4].ram.r_n_6 ;
+ wire \ramloop[4].ram.r_n_7 ;
+ wire \ramloop[4].ram.r_n_8 ;
+ wire \ramloop[5].ram.r_n_0 ;
+ wire \ramloop[5].ram.r_n_1 ;
+ wire \ramloop[5].ram.r_n_2 ;
+ wire \ramloop[5].ram.r_n_3 ;
+ wire \ramloop[5].ram.r_n_4 ;
+ wire \ramloop[5].ram.r_n_5 ;
+ wire \ramloop[5].ram.r_n_6 ;
+ wire \ramloop[5].ram.r_n_7 ;
+ wire \ramloop[5].ram.r_n_8 ;
+ wire rd_clk;
+ wire wr_clk;
+
+ decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_mux__parameterized0 \has_mux_b.B
+ (.\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram ({\ramloop[2].ram.r_n_0 ,\ramloop[2].ram.r_n_1 ,\ramloop[2].ram.r_n_2 ,\ramloop[2].ram.r_n_3 ,\ramloop[2].ram.r_n_4 ,\ramloop[2].ram.r_n_5 ,\ramloop[2].ram.r_n_6 ,\ramloop[2].ram.r_n_7 }),
+ .\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_0 (\ramloop[2].ram.r_n_8 ),
+ .\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_1 ({\ramloop[5].ram.r_n_0 ,\ramloop[5].ram.r_n_1 ,\ramloop[5].ram.r_n_2 ,\ramloop[5].ram.r_n_3 ,\ramloop[5].ram.r_n_4 ,\ramloop[5].ram.r_n_5 ,\ramloop[5].ram.r_n_6 ,\ramloop[5].ram.r_n_7 }),
+ .\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_2 ({\ramloop[4].ram.r_n_0 ,\ramloop[4].ram.r_n_1 ,\ramloop[4].ram.r_n_2 ,\ramloop[4].ram.r_n_3 ,\ramloop[4].ram.r_n_4 ,\ramloop[4].ram.r_n_5 ,\ramloop[4].ram.r_n_6 ,\ramloop[4].ram.r_n_7 }),
+ .\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_3 (\ramloop[5].ram.r_n_8 ),
+ .\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_4 (\ramloop[4].ram.r_n_8 ),
+ .DOBDO({\ramloop[3].ram.r_n_0 ,\ramloop[3].ram.r_n_1 ,\ramloop[3].ram.r_n_2 ,\ramloop[3].ram.r_n_3 ,\ramloop[3].ram.r_n_4 ,\ramloop[3].ram.r_n_5 ,\ramloop[3].ram.r_n_6 ,\ramloop[3].ram.r_n_7 }),
+ .DOPBDOP(\ramloop[3].ram.r_n_8 ),
+ .dout(dout[23:6]),
+ .\gc0.count_d1_reg[12] (\gc0.count_d1_reg[12]_0 ),
+ .\no_softecc_sel_reg.ce_pri.sel_pipe_reg[0]_0 (\no_softecc_sel_reg.ce_pri.sel_pipe_reg[0] ),
+ .rd_clk(rd_clk));
+ decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width \ramloop[0].ram.r
+ (.Q(Q),
+ .WEA(WEA),
+ .din(din[1:0]),
+ .dout(dout[1:0]),
+ .\gc0.count_d1_reg[12] (\gc0.count_d1_reg[12] ),
+ .ram_empty_fb_i_reg(ram_empty_fb_i_reg),
+ .ram_full_fb_i_reg(ram_full_fb_i_reg),
+ .rd_clk(rd_clk),
+ .wr_clk(wr_clk));
+ decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized0 \ramloop[1].ram.r
+ (.Q(Q),
+ .WEA(WEA),
+ .din(din[5:2]),
+ .dout(dout[5:2]),
+ .\gc0.count_d1_reg[12] (\gc0.count_d1_reg[12] ),
+ .ram_empty_fb_i_reg(ram_empty_fb_i_reg),
+ .ram_full_fb_i_reg(ram_full_fb_i_reg),
+ .rd_clk(rd_clk),
+ .wr_clk(wr_clk));
+ decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized1 \ramloop[2].ram.r
+ (.Q(Q[11:0]),
+ .WEA(WEA),
+ .din(din[14:6]),
+ .\dout[13] ({\ramloop[2].ram.r_n_0 ,\ramloop[2].ram.r_n_1 ,\ramloop[2].ram.r_n_2 ,\ramloop[2].ram.r_n_3 ,\ramloop[2].ram.r_n_4 ,\ramloop[2].ram.r_n_5 ,\ramloop[2].ram.r_n_6 ,\ramloop[2].ram.r_n_7 }),
+ .\dout[14] (\ramloop[2].ram.r_n_8 ),
+ .\gc0.count_d1_reg[11] (\gc0.count_d1_reg[12] [11:0]),
+ .ram_empty_fb_i_reg(ram_empty_fb_i_reg_0),
+ .ram_full_fb_i_reg(ram_full_fb_i_reg_0),
+ .rd_clk(rd_clk),
+ .wr_clk(wr_clk));
+ decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized2 \ramloop[3].ram.r
+ (.DOBDO({\ramloop[3].ram.r_n_0 ,\ramloop[3].ram.r_n_1 ,\ramloop[3].ram.r_n_2 ,\ramloop[3].ram.r_n_3 ,\ramloop[3].ram.r_n_4 ,\ramloop[3].ram.r_n_5 ,\ramloop[3].ram.r_n_6 ,\ramloop[3].ram.r_n_7 }),
+ .DOPBDOP(\ramloop[3].ram.r_n_8 ),
+ .Q(Q[11:0]),
+ .WEA(WEA),
+ .din(din[14:6]),
+ .ena_array(ena_array),
+ .enb_array(enb_array),
+ .\gc0.count_d1_reg[11] (\gc0.count_d1_reg[12] [11:0]),
+ .rd_clk(rd_clk),
+ .wr_clk(wr_clk));
+ decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized3 \ramloop[4].ram.r
+ (.Q(Q[11:0]),
+ .WEA(WEA),
+ .din(din[23:15]),
+ .\dout[22] ({\ramloop[4].ram.r_n_0 ,\ramloop[4].ram.r_n_1 ,\ramloop[4].ram.r_n_2 ,\ramloop[4].ram.r_n_3 ,\ramloop[4].ram.r_n_4 ,\ramloop[4].ram.r_n_5 ,\ramloop[4].ram.r_n_6 ,\ramloop[4].ram.r_n_7 }),
+ .\dout[23] (\ramloop[4].ram.r_n_8 ),
+ .\gc0.count_d1_reg[11] (\gc0.count_d1_reg[12] [11:0]),
+ .ram_empty_fb_i_reg(ram_empty_fb_i_reg_0),
+ .ram_full_fb_i_reg(ram_full_fb_i_reg_0),
+ .rd_clk(rd_clk),
+ .wr_clk(wr_clk));
+ decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized4 \ramloop[5].ram.r
+ (.Q(Q[11:0]),
+ .WEA(WEA),
+ .din(din[23:15]),
+ .\dout[22] ({\ramloop[5].ram.r_n_0 ,\ramloop[5].ram.r_n_1 ,\ramloop[5].ram.r_n_2 ,\ramloop[5].ram.r_n_3 ,\ramloop[5].ram.r_n_4 ,\ramloop[5].ram.r_n_5 ,\ramloop[5].ram.r_n_6 ,\ramloop[5].ram.r_n_7 }),
+ .\dout[23] (\ramloop[5].ram.r_n_8 ),
+ .ena_array(ena_array),
+ .enb_array(enb_array),
+ .\gc0.count_d1_reg[11] (\gc0.count_d1_reg[12] [11:0]),
+ .rd_clk(rd_clk),
+ .wr_clk(wr_clk));
+endmodule
+
+(* ORIG_REF_NAME = "blk_mem_gen_mux" *)
+module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_mux__parameterized0
+ (\no_softecc_sel_reg.ce_pri.sel_pipe_reg[0]_0 ,
+ dout,
+ \gc0.count_d1_reg[12] ,
+ rd_clk,
+ DOBDO,
+ \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram ,
+ DOPBDOP,
+ \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_0 ,
+ \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_1 ,
+ \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_2 ,
+ \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_3 ,
+ \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_4 );
+ output \no_softecc_sel_reg.ce_pri.sel_pipe_reg[0]_0 ;
+ output [17:0]dout;
+ input \gc0.count_d1_reg[12] ;
+ input rd_clk;
+ input [7:0]DOBDO;
+ input [7:0]\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram ;
+ input [0:0]DOPBDOP;
+ input [0:0]\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_0 ;
+ input [7:0]\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_1 ;
+ input [7:0]\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_2 ;
+ input [0:0]\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_3 ;
+ input [0:0]\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_4 ;
+
+ wire [7:0]\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram ;
+ wire [0:0]\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_0 ;
+ wire [7:0]\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_1 ;
+ wire [7:0]\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_2 ;
+ wire [0:0]\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_3 ;
+ wire [0:0]\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_4 ;
+ wire [7:0]DOBDO;
+ wire [0:0]DOPBDOP;
+ wire [17:0]dout;
+ wire \gc0.count_d1_reg[12] ;
+ wire \no_softecc_sel_reg.ce_pri.sel_pipe_reg[0]_0 ;
+ wire rd_clk;
+
+ (* SOFT_HLUTNM = "soft_lutpair14" *)
+ LUT3 #(
+ .INIT(8'hAC))
+ \dout[10]_INST_0
+ (.I0(DOBDO[4]),
+ .I1(\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram [4]),
+ .I2(\no_softecc_sel_reg.ce_pri.sel_pipe_reg[0]_0 ),
+ .O(dout[4]));
+ (* SOFT_HLUTNM = "soft_lutpair14" *)
+ LUT3 #(
+ .INIT(8'hAC))
+ \dout[11]_INST_0
+ (.I0(DOBDO[5]),
+ .I1(\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram [5]),
+ .I2(\no_softecc_sel_reg.ce_pri.sel_pipe_reg[0]_0 ),
+ .O(dout[5]));
+ (* SOFT_HLUTNM = "soft_lutpair15" *)
+ LUT3 #(
+ .INIT(8'hAC))
+ \dout[12]_INST_0
+ (.I0(DOBDO[6]),
+ .I1(\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram [6]),
+ .I2(\no_softecc_sel_reg.ce_pri.sel_pipe_reg[0]_0 ),
+ .O(dout[6]));
+ (* SOFT_HLUTNM = "soft_lutpair15" *)
+ LUT3 #(
+ .INIT(8'hAC))
+ \dout[13]_INST_0
+ (.I0(DOBDO[7]),
+ .I1(\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram [7]),
+ .I2(\no_softecc_sel_reg.ce_pri.sel_pipe_reg[0]_0 ),
+ .O(dout[7]));
+ (* SOFT_HLUTNM = "soft_lutpair16" *)
+ LUT3 #(
+ .INIT(8'hAC))
+ \dout[14]_INST_0
+ (.I0(DOPBDOP),
+ .I1(\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_0 ),
+ .I2(\no_softecc_sel_reg.ce_pri.sel_pipe_reg[0]_0 ),
+ .O(dout[8]));
+ (* SOFT_HLUTNM = "soft_lutpair16" *)
+ LUT3 #(
+ .INIT(8'hAC))
+ \dout[15]_INST_0
+ (.I0(\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_1 [0]),
+ .I1(\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_2 [0]),
+ .I2(\no_softecc_sel_reg.ce_pri.sel_pipe_reg[0]_0 ),
+ .O(dout[9]));
+ (* SOFT_HLUTNM = "soft_lutpair17" *)
+ LUT3 #(
+ .INIT(8'hAC))
+ \dout[16]_INST_0
+ (.I0(\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_1 [1]),
+ .I1(\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_2 [1]),
+ .I2(\no_softecc_sel_reg.ce_pri.sel_pipe_reg[0]_0 ),
+ .O(dout[10]));
+ (* SOFT_HLUTNM = "soft_lutpair17" *)
+ LUT3 #(
+ .INIT(8'hAC))
+ \dout[17]_INST_0
+ (.I0(\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_1 [2]),
+ .I1(\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_2 [2]),
+ .I2(\no_softecc_sel_reg.ce_pri.sel_pipe_reg[0]_0 ),
+ .O(dout[11]));
+ (* SOFT_HLUTNM = "soft_lutpair18" *)
+ LUT3 #(
+ .INIT(8'hAC))
+ \dout[18]_INST_0
+ (.I0(\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_1 [3]),
+ .I1(\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_2 [3]),
+ .I2(\no_softecc_sel_reg.ce_pri.sel_pipe_reg[0]_0 ),
+ .O(dout[12]));
+ (* SOFT_HLUTNM = "soft_lutpair18" *)
+ LUT3 #(
+ .INIT(8'hAC))
+ \dout[19]_INST_0
+ (.I0(\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_1 [4]),
+ .I1(\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_2 [4]),
+ .I2(\no_softecc_sel_reg.ce_pri.sel_pipe_reg[0]_0 ),
+ .O(dout[13]));
+ (* SOFT_HLUTNM = "soft_lutpair19" *)
+ LUT3 #(
+ .INIT(8'hAC))
+ \dout[20]_INST_0
+ (.I0(\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_1 [5]),
+ .I1(\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_2 [5]),
+ .I2(\no_softecc_sel_reg.ce_pri.sel_pipe_reg[0]_0 ),
+ .O(dout[14]));
+ (* SOFT_HLUTNM = "soft_lutpair19" *)
+ LUT3 #(
+ .INIT(8'hAC))
+ \dout[21]_INST_0
+ (.I0(\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_1 [6]),
+ .I1(\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_2 [6]),
+ .I2(\no_softecc_sel_reg.ce_pri.sel_pipe_reg[0]_0 ),
+ .O(dout[15]));
+ (* SOFT_HLUTNM = "soft_lutpair20" *)
+ LUT3 #(
+ .INIT(8'hAC))
+ \dout[22]_INST_0
+ (.I0(\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_1 [7]),
+ .I1(\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_2 [7]),
+ .I2(\no_softecc_sel_reg.ce_pri.sel_pipe_reg[0]_0 ),
+ .O(dout[16]));
+ (* SOFT_HLUTNM = "soft_lutpair20" *)
+ LUT3 #(
+ .INIT(8'hAC))
+ \dout[23]_INST_0
+ (.I0(\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_3 ),
+ .I1(\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_4 ),
+ .I2(\no_softecc_sel_reg.ce_pri.sel_pipe_reg[0]_0 ),
+ .O(dout[17]));
+ (* SOFT_HLUTNM = "soft_lutpair12" *)
+ LUT3 #(
+ .INIT(8'hAC))
+ \dout[6]_INST_0
+ (.I0(DOBDO[0]),
+ .I1(\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram [0]),
+ .I2(\no_softecc_sel_reg.ce_pri.sel_pipe_reg[0]_0 ),
+ .O(dout[0]));
+ (* SOFT_HLUTNM = "soft_lutpair12" *)
+ LUT3 #(
+ .INIT(8'hAC))
+ \dout[7]_INST_0
+ (.I0(DOBDO[1]),
+ .I1(\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram [1]),
+ .I2(\no_softecc_sel_reg.ce_pri.sel_pipe_reg[0]_0 ),
+ .O(dout[1]));
+ (* SOFT_HLUTNM = "soft_lutpair13" *)
+ LUT3 #(
+ .INIT(8'hAC))
+ \dout[8]_INST_0
+ (.I0(DOBDO[2]),
+ .I1(\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram [2]),
+ .I2(\no_softecc_sel_reg.ce_pri.sel_pipe_reg[0]_0 ),
+ .O(dout[2]));
+ (* SOFT_HLUTNM = "soft_lutpair13" *)
+ LUT3 #(
+ .INIT(8'hAC))
+ \dout[9]_INST_0
+ (.I0(DOBDO[3]),
+ .I1(\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram [3]),
+ .I2(\no_softecc_sel_reg.ce_pri.sel_pipe_reg[0]_0 ),
+ .O(dout[3]));
+ FDRE #(
+ .INIT(1'b0))
+ \no_softecc_sel_reg.ce_pri.sel_pipe_reg[0]
+ (.C(rd_clk),
+ .CE(1'b1),
+ .D(\gc0.count_d1_reg[12] ),
+ .Q(\no_softecc_sel_reg.ce_pri.sel_pipe_reg[0]_0 ),
+ .R(1'b0));
+endmodule
+
+module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width
+ (dout,
+ wr_clk,
+ rd_clk,
+ ram_full_fb_i_reg,
+ ram_empty_fb_i_reg,
+ Q,
+ \gc0.count_d1_reg[12] ,
+ din,
+ WEA);
+ output [1:0]dout;
+ input wr_clk;
+ input rd_clk;
+ input ram_full_fb_i_reg;
+ input ram_empty_fb_i_reg;
+ input [12:0]Q;
+ input [12:0]\gc0.count_d1_reg[12] ;
+ input [1:0]din;
+ input [0:0]WEA;
+
+ wire [12:0]Q;
+ wire [0:0]WEA;
+ wire [1:0]din;
+ wire [1:0]dout;
+ wire [12:0]\gc0.count_d1_reg[12] ;
+ wire ram_empty_fb_i_reg;
+ wire ram_full_fb_i_reg;
+ wire rd_clk;
+ wire wr_clk;
+
+ decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper \prim_noinit.ram
+ (.Q(Q),
+ .WEA(WEA),
+ .din(din),
+ .dout(dout),
+ .\gc0.count_d1_reg[12] (\gc0.count_d1_reg[12] ),
+ .ram_empty_fb_i_reg(ram_empty_fb_i_reg),
+ .ram_full_fb_i_reg(ram_full_fb_i_reg),
+ .rd_clk(rd_clk),
+ .wr_clk(wr_clk));
+endmodule
+
+(* ORIG_REF_NAME = "blk_mem_gen_prim_width" *)
+module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized0
+ (dout,
+ wr_clk,
+ rd_clk,
+ ram_full_fb_i_reg,
+ ram_empty_fb_i_reg,
+ Q,
+ \gc0.count_d1_reg[12] ,
+ din,
+ WEA);
+ output [3:0]dout;
+ input wr_clk;
+ input rd_clk;
+ input ram_full_fb_i_reg;
+ input ram_empty_fb_i_reg;
+ input [12:0]Q;
+ input [12:0]\gc0.count_d1_reg[12] ;
+ input [3:0]din;
+ input [0:0]WEA;
+
+ wire [12:0]Q;
+ wire [0:0]WEA;
+ wire [3:0]din;
+ wire [3:0]dout;
+ wire [12:0]\gc0.count_d1_reg[12] ;
+ wire ram_empty_fb_i_reg;
+ wire ram_full_fb_i_reg;
+ wire rd_clk;
+ wire wr_clk;
+
+ decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper__parameterized0 \prim_noinit.ram
+ (.Q(Q),
+ .WEA(WEA),
+ .din(din),
+ .dout(dout),
+ .\gc0.count_d1_reg[12] (\gc0.count_d1_reg[12] ),
+ .ram_empty_fb_i_reg(ram_empty_fb_i_reg),
+ .ram_full_fb_i_reg(ram_full_fb_i_reg),
+ .rd_clk(rd_clk),
+ .wr_clk(wr_clk));
+endmodule
+
+(* ORIG_REF_NAME = "blk_mem_gen_prim_width" *)
+module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized1
+ (\dout[13] ,
+ \dout[14] ,
+ wr_clk,
+ rd_clk,
+ ram_full_fb_i_reg,
+ ram_empty_fb_i_reg,
+ Q,
+ \gc0.count_d1_reg[11] ,
+ din,
+ WEA);
+ output [7:0]\dout[13] ;
+ output [0:0]\dout[14] ;
+ input wr_clk;
+ input rd_clk;
+ input ram_full_fb_i_reg;
+ input ram_empty_fb_i_reg;
+ input [11:0]Q;
+ input [11:0]\gc0.count_d1_reg[11] ;
+ input [8:0]din;
+ input [0:0]WEA;
+
+ wire [11:0]Q;
+ wire [0:0]WEA;
+ wire [8:0]din;
+ wire [7:0]\dout[13] ;
+ wire [0:0]\dout[14] ;
+ wire [11:0]\gc0.count_d1_reg[11] ;
+ wire ram_empty_fb_i_reg;
+ wire ram_full_fb_i_reg;
+ wire rd_clk;
+ wire wr_clk;
+
+ decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper__parameterized1 \prim_noinit.ram
+ (.Q(Q),
+ .WEA(WEA),
+ .din(din),
+ .\dout[13] (\dout[13] ),
+ .\dout[14] (\dout[14] ),
+ .\gc0.count_d1_reg[11] (\gc0.count_d1_reg[11] ),
+ .ram_empty_fb_i_reg(ram_empty_fb_i_reg),
+ .ram_full_fb_i_reg(ram_full_fb_i_reg),
+ .rd_clk(rd_clk),
+ .wr_clk(wr_clk));
+endmodule
+
+(* ORIG_REF_NAME = "blk_mem_gen_prim_width" *)
+module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized2
+ (DOBDO,
+ DOPBDOP,
+ wr_clk,
+ rd_clk,
+ ena_array,
+ enb_array,
+ Q,
+ \gc0.count_d1_reg[11] ,
+ din,
+ WEA);
+ output [7:0]DOBDO;
+ output [0:0]DOPBDOP;
+ input wr_clk;
+ input rd_clk;
+ input [0:0]ena_array;
+ input [0:0]enb_array;
+ input [11:0]Q;
+ input [11:0]\gc0.count_d1_reg[11] ;
+ input [8:0]din;
+ input [0:0]WEA;
+
+ wire [7:0]DOBDO;
+ wire [0:0]DOPBDOP;
+ wire [11:0]Q;
+ wire [0:0]WEA;
+ wire [8:0]din;
+ wire [0:0]ena_array;
+ wire [0:0]enb_array;
+ wire [11:0]\gc0.count_d1_reg[11] ;
+ wire rd_clk;
+ wire wr_clk;
+
+ decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper__parameterized2 \prim_noinit.ram
+ (.DOBDO(DOBDO),
+ .DOPBDOP(DOPBDOP),
+ .Q(Q),
+ .WEA(WEA),
+ .din(din),
+ .ena_array(ena_array),
+ .enb_array(enb_array),
+ .\gc0.count_d1_reg[11] (\gc0.count_d1_reg[11] ),
+ .rd_clk(rd_clk),
+ .wr_clk(wr_clk));
+endmodule
+
+(* ORIG_REF_NAME = "blk_mem_gen_prim_width" *)
+module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized3
+ (\dout[22] ,
+ \dout[23] ,
+ wr_clk,
+ rd_clk,
+ ram_full_fb_i_reg,
+ ram_empty_fb_i_reg,
+ Q,
+ \gc0.count_d1_reg[11] ,
+ din,
+ WEA);
+ output [7:0]\dout[22] ;
+ output [0:0]\dout[23] ;
+ input wr_clk;
+ input rd_clk;
+ input ram_full_fb_i_reg;
+ input ram_empty_fb_i_reg;
+ input [11:0]Q;
+ input [11:0]\gc0.count_d1_reg[11] ;
+ input [8:0]din;
+ input [0:0]WEA;
+
+ wire [11:0]Q;
+ wire [0:0]WEA;
+ wire [8:0]din;
+ wire [7:0]\dout[22] ;
+ wire [0:0]\dout[23] ;
+ wire [11:0]\gc0.count_d1_reg[11] ;
+ wire ram_empty_fb_i_reg;
+ wire ram_full_fb_i_reg;
+ wire rd_clk;
+ wire wr_clk;
+
+ decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper__parameterized3 \prim_noinit.ram
+ (.Q(Q),
+ .WEA(WEA),
+ .din(din),
+ .\dout[22] (\dout[22] ),
+ .\dout[23] (\dout[23] ),
+ .\gc0.count_d1_reg[11] (\gc0.count_d1_reg[11] ),
+ .ram_empty_fb_i_reg(ram_empty_fb_i_reg),
+ .ram_full_fb_i_reg(ram_full_fb_i_reg),
+ .rd_clk(rd_clk),
+ .wr_clk(wr_clk));
+endmodule
+
+(* ORIG_REF_NAME = "blk_mem_gen_prim_width" *)
+module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized4
+ (\dout[22] ,
+ \dout[23] ,
+ wr_clk,
+ rd_clk,
+ ena_array,
+ enb_array,
+ Q,
+ \gc0.count_d1_reg[11] ,
+ din,
+ WEA);
+ output [7:0]\dout[22] ;
+ output [0:0]\dout[23] ;
+ input wr_clk;
+ input rd_clk;
+ input [0:0]ena_array;
+ input [0:0]enb_array;
+ input [11:0]Q;
+ input [11:0]\gc0.count_d1_reg[11] ;
+ input [8:0]din;
+ input [0:0]WEA;
+
+ wire [11:0]Q;
+ wire [0:0]WEA;
+ wire [8:0]din;
+ wire [7:0]\dout[22] ;
+ wire [0:0]\dout[23] ;
+ wire [0:0]ena_array;
+ wire [0:0]enb_array;
+ wire [11:0]\gc0.count_d1_reg[11] ;
+ wire rd_clk;
+ wire wr_clk;
+
+ decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper__parameterized4 \prim_noinit.ram
+ (.Q(Q),
+ .WEA(WEA),
+ .din(din),
+ .\dout[22] (\dout[22] ),
+ .\dout[23] (\dout[23] ),
+ .ena_array(ena_array),
+ .enb_array(enb_array),
+ .\gc0.count_d1_reg[11] (\gc0.count_d1_reg[11] ),
+ .rd_clk(rd_clk),
+ .wr_clk(wr_clk));
+endmodule
+
+module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper
+ (dout,
+ wr_clk,
+ rd_clk,
+ ram_full_fb_i_reg,
+ ram_empty_fb_i_reg,
+ Q,
+ \gc0.count_d1_reg[12] ,
+ din,
+ WEA);
+ output [1:0]dout;
+ input wr_clk;
+ input rd_clk;
+ input ram_full_fb_i_reg;
+ input ram_empty_fb_i_reg;
+ input [12:0]Q;
+ input [12:0]\gc0.count_d1_reg[12] ;
+ input [1:0]din;
+ input [0:0]WEA;
+
+ wire [12:0]Q;
+ wire [0:0]WEA;
+ wire [1:0]din;
+ wire [1:0]dout;
+ wire [12:0]\gc0.count_d1_reg[12] ;
+ wire ram_empty_fb_i_reg;
+ wire ram_full_fb_i_reg;
+ wire rd_clk;
+ wire wr_clk;
+ wire [15:0]\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_DOADO_UNCONNECTED ;
+ wire [15:2]\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_DOBDO_UNCONNECTED ;
+ wire [1:0]\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_DOPADOP_UNCONNECTED ;
+ wire [1:0]\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_DOPBDOP_UNCONNECTED ;
+
+ (* CLOCK_DOMAINS = "INDEPENDENT" *)
+ (* box_type = "PRIMITIVE" *)
+ RAMB18E1 #(
+ .DOA_REG(0),
+ .DOB_REG(0),
+ .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_04(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_05(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_06(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_07(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_04(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_05(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_06(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_07(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_08(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_09(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_0A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_0B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_0C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_0D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_0E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_0F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_10(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_11(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_12(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_13(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_14(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_20(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_21(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_22(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_23(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_24(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_25(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_26(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_27(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_28(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_29(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_2A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_2B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_2C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_2D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_2E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_2F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_30(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_31(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_32(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_33(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_34(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_35(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_36(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_37(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_38(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_39(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_3A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_3B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_3C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_3D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_3E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_3F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_A(18'h00000),
+ .INIT_B(18'h00000),
+ .INIT_FILE("NONE"),
+ .IS_CLKARDCLK_INVERTED(1'b0),
+ .IS_CLKBWRCLK_INVERTED(1'b0),
+ .IS_ENARDEN_INVERTED(1'b0),
+ .IS_ENBWREN_INVERTED(1'b0),
+ .IS_RSTRAMARSTRAM_INVERTED(1'b0),
+ .IS_RSTRAMB_INVERTED(1'b0),
+ .IS_RSTREGARSTREG_INVERTED(1'b0),
+ .IS_RSTREGB_INVERTED(1'b0),
+ .RAM_MODE("TDP"),
+ .RDADDR_COLLISION_HWCONFIG("DELAYED_WRITE"),
+ .READ_WIDTH_A(2),
+ .READ_WIDTH_B(2),
+ .RSTREG_PRIORITY_A("REGCE"),
+ .RSTREG_PRIORITY_B("REGCE"),
+ .SIM_COLLISION_CHECK("ALL"),
+ .SIM_DEVICE("7SERIES"),
+ .SRVAL_A(18'h00000),
+ .SRVAL_B(18'h00000),
+ .WRITE_MODE_A("WRITE_FIRST"),
+ .WRITE_MODE_B("WRITE_FIRST"),
+ .WRITE_WIDTH_A(2),
+ .WRITE_WIDTH_B(2))
+ \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram
+ (.ADDRARDADDR({Q,1'b0}),
+ .ADDRBWRADDR({\gc0.count_d1_reg[12] ,1'b0}),
+ .CLKARDCLK(wr_clk),
+ .CLKBWRCLK(rd_clk),
+ .DIADI({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,din}),
+ .DIBDI({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}),
+ .DIPADIP({1'b0,1'b0}),
+ .DIPBDIP({1'b0,1'b0}),
+ .DOADO(\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_DOADO_UNCONNECTED [15:0]),
+ .DOBDO({\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_DOBDO_UNCONNECTED [15:2],dout}),
+ .DOPADOP(\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_DOPADOP_UNCONNECTED [1:0]),
+ .DOPBDOP(\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_DOPBDOP_UNCONNECTED [1:0]),
+ .ENARDEN(ram_full_fb_i_reg),
+ .ENBWREN(ram_empty_fb_i_reg),
+ .REGCEAREGCE(1'b0),
+ .REGCEB(1'b0),
+ .RSTRAMARSTRAM(1'b0),
+ .RSTRAMB(1'b0),
+ .RSTREGARSTREG(1'b0),
+ .RSTREGB(1'b0),
+ .WEA({WEA,WEA}),
+ .WEBWE({1'b0,1'b0,1'b0,1'b0}));
+endmodule
+
+(* ORIG_REF_NAME = "blk_mem_gen_prim_wrapper" *)
+module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper__parameterized0
+ (dout,
+ wr_clk,
+ rd_clk,
+ ram_full_fb_i_reg,
+ ram_empty_fb_i_reg,
+ Q,
+ \gc0.count_d1_reg[12] ,
+ din,
+ WEA);
+ output [3:0]dout;
+ input wr_clk;
+ input rd_clk;
+ input ram_full_fb_i_reg;
+ input ram_empty_fb_i_reg;
+ input [12:0]Q;
+ input [12:0]\gc0.count_d1_reg[12] ;
+ input [3:0]din;
+ input [0:0]WEA;
+
+ wire [12:0]Q;
+ wire [0:0]WEA;
+ wire [3:0]din;
+ wire [3:0]dout;
+ wire [12:0]\gc0.count_d1_reg[12] ;
+ wire ram_empty_fb_i_reg;
+ wire ram_full_fb_i_reg;
+ wire rd_clk;
+ wire wr_clk;
+ wire \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED ;
+ wire \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED ;
+ wire \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED ;
+ wire \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED ;
+ wire [31:0]\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED ;
+ wire [31:4]\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED ;
+ wire [3:0]\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED ;
+ wire [3:0]\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED ;
+ wire [7:0]\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED ;
+ wire [8:0]\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED ;
+
+ (* CLOCK_DOMAINS = "INDEPENDENT" *)
+ (* box_type = "PRIMITIVE" *)
+ RAMB36E1 #(
+ .DOA_REG(0),
+ .DOB_REG(0),
+ .EN_ECC_READ("FALSE"),
+ .EN_ECC_WRITE("FALSE"),
+ .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_04(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_05(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_06(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_07(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_08(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_09(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_0A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_0B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_0C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_0D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_0E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_0F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_04(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_05(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_06(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_07(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_08(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_09(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_0A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_0B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_0C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_0D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_0E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_0F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_10(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_11(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_12(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_13(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_14(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_20(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_21(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_22(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_23(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_24(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_25(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_26(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_27(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_28(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_29(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_2A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_2B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_2C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_2D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_2E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_2F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_30(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_31(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_32(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_33(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_34(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_35(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_36(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_37(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_38(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_39(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_3A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_3B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_3C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_3D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_3E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_3F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_40(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_41(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_42(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_43(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_44(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_45(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_46(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_47(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_48(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_49(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_4A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_4B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_4C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_4D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_4E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_4F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_50(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_51(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_52(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_53(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_54(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_55(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_56(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_57(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_58(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_59(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_5A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_5B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_5C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_5D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_5E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_5F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_60(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_61(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_62(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_63(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_64(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_65(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_66(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_67(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_68(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_69(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_6A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_6B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_6C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_6D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_6E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_6F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_70(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_71(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_72(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_73(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_74(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_75(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_76(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_77(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_78(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_79(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_7A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_7B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_7C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_7D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_7E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_7F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_A(36'h000000000),
+ .INIT_B(36'h000000000),
+ .INIT_FILE("NONE"),
+ .IS_CLKARDCLK_INVERTED(1'b0),
+ .IS_CLKBWRCLK_INVERTED(1'b0),
+ .IS_ENARDEN_INVERTED(1'b0),
+ .IS_ENBWREN_INVERTED(1'b0),
+ .IS_RSTRAMARSTRAM_INVERTED(1'b0),
+ .IS_RSTRAMB_INVERTED(1'b0),
+ .IS_RSTREGARSTREG_INVERTED(1'b0),
+ .IS_RSTREGB_INVERTED(1'b0),
+ .RAM_EXTENSION_A("NONE"),
+ .RAM_EXTENSION_B("NONE"),
+ .RAM_MODE("TDP"),
+ .RDADDR_COLLISION_HWCONFIG("DELAYED_WRITE"),
+ .READ_WIDTH_A(4),
+ .READ_WIDTH_B(4),
+ .RSTREG_PRIORITY_A("REGCE"),
+ .RSTREG_PRIORITY_B("REGCE"),
+ .SIM_COLLISION_CHECK("ALL"),
+ .SIM_DEVICE("7SERIES"),
+ .SRVAL_A(36'h000000000),
+ .SRVAL_B(36'h000000000),
+ .WRITE_MODE_A("WRITE_FIRST"),
+ .WRITE_MODE_B("WRITE_FIRST"),
+ .WRITE_WIDTH_A(4),
+ .WRITE_WIDTH_B(4))
+ \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram
+ (.ADDRARDADDR({1'b1,Q,1'b1,1'b1}),
+ .ADDRBWRADDR({1'b1,\gc0.count_d1_reg[12] ,1'b1,1'b1}),
+ .CASCADEINA(1'b0),
+ .CASCADEINB(1'b0),
+ .CASCADEOUTA(\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED ),
+ .CASCADEOUTB(\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED ),
+ .CLKARDCLK(wr_clk),
+ .CLKBWRCLK(rd_clk),
+ .DBITERR(\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED ),
+ .DIADI({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,din}),
+ .DIBDI({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}),
+ .DIPADIP({1'b0,1'b0,1'b0,1'b0}),
+ .DIPBDIP({1'b0,1'b0,1'b0,1'b0}),
+ .DOADO(\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED [31:0]),
+ .DOBDO({\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED [31:4],dout}),
+ .DOPADOP(\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED [3:0]),
+ .DOPBDOP(\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED [3:0]),
+ .ECCPARITY(\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED [7:0]),
+ .ENARDEN(ram_full_fb_i_reg),
+ .ENBWREN(ram_empty_fb_i_reg),
+ .INJECTDBITERR(1'b0),
+ .INJECTSBITERR(1'b0),
+ .RDADDRECC(\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED [8:0]),
+ .REGCEAREGCE(1'b0),
+ .REGCEB(1'b0),
+ .RSTRAMARSTRAM(1'b0),
+ .RSTRAMB(1'b0),
+ .RSTREGARSTREG(1'b0),
+ .RSTREGB(1'b0),
+ .SBITERR(\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED ),
+ .WEA({WEA,WEA,WEA,WEA}),
+ .WEBWE({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}));
+endmodule
+
+(* ORIG_REF_NAME = "blk_mem_gen_prim_wrapper" *)
+module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper__parameterized1
+ (\dout[13] ,
+ \dout[14] ,
+ wr_clk,
+ rd_clk,
+ ram_full_fb_i_reg,
+ ram_empty_fb_i_reg,
+ Q,
+ \gc0.count_d1_reg[11] ,
+ din,
+ WEA);
+ output [7:0]\dout[13] ;
+ output [0:0]\dout[14] ;
+ input wr_clk;
+ input rd_clk;
+ input ram_full_fb_i_reg;
+ input ram_empty_fb_i_reg;
+ input [11:0]Q;
+ input [11:0]\gc0.count_d1_reg[11] ;
+ input [8:0]din;
+ input [0:0]WEA;
+
+ wire [11:0]Q;
+ wire [0:0]WEA;
+ wire [8:0]din;
+ wire [7:0]\dout[13] ;
+ wire [0:0]\dout[14] ;
+ wire [11:0]\gc0.count_d1_reg[11] ;
+ wire ram_empty_fb_i_reg;
+ wire ram_full_fb_i_reg;
+ wire rd_clk;
+ wire wr_clk;
+ wire \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED ;
+ wire \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED ;
+ wire \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED ;
+ wire \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED ;
+ wire [31:0]\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED ;
+ wire [31:8]\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED ;
+ wire [3:0]\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED ;
+ wire [3:1]\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED ;
+ wire [7:0]\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED ;
+ wire [8:0]\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED ;
+
+ (* CLOCK_DOMAINS = "INDEPENDENT" *)
+ (* box_type = "PRIMITIVE" *)
+ RAMB36E1 #(
+ .DOA_REG(0),
+ .DOB_REG(0),
+ .EN_ECC_READ("FALSE"),
+ .EN_ECC_WRITE("FALSE"),
+ .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_04(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_05(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_06(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_07(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_08(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_09(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_0A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_0B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_0C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_0D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_0E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_0F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_04(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_05(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_06(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_07(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_08(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_09(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_0A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_0B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_0C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_0D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_0E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_0F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_10(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_11(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_12(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_13(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_14(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_20(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_21(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_22(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_23(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_24(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_25(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_26(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_27(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_28(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_29(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_2A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_2B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_2C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_2D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_2E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_2F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_30(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_31(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_32(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_33(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_34(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_35(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_36(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_37(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_38(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_39(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_3A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_3B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_3C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_3D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_3E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_3F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_40(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_41(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_42(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_43(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_44(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_45(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_46(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_47(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_48(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_49(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_4A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_4B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_4C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_4D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_4E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_4F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_50(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_51(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_52(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_53(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_54(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_55(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_56(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_57(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_58(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_59(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_5A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_5B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_5C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_5D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_5E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_5F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_60(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_61(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_62(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_63(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_64(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_65(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_66(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_67(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_68(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_69(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_6A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_6B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_6C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_6D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_6E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_6F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_70(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_71(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_72(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_73(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_74(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_75(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_76(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_77(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_78(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_79(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_7A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_7B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_7C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_7D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_7E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_7F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_A(36'h000000000),
+ .INIT_B(36'h000000000),
+ .INIT_FILE("NONE"),
+ .IS_CLKARDCLK_INVERTED(1'b0),
+ .IS_CLKBWRCLK_INVERTED(1'b0),
+ .IS_ENARDEN_INVERTED(1'b0),
+ .IS_ENBWREN_INVERTED(1'b0),
+ .IS_RSTRAMARSTRAM_INVERTED(1'b0),
+ .IS_RSTRAMB_INVERTED(1'b0),
+ .IS_RSTREGARSTREG_INVERTED(1'b0),
+ .IS_RSTREGB_INVERTED(1'b0),
+ .RAM_EXTENSION_A("NONE"),
+ .RAM_EXTENSION_B("NONE"),
+ .RAM_MODE("TDP"),
+ .RDADDR_COLLISION_HWCONFIG("DELAYED_WRITE"),
+ .READ_WIDTH_A(9),
+ .READ_WIDTH_B(9),
+ .RSTREG_PRIORITY_A("REGCE"),
+ .RSTREG_PRIORITY_B("REGCE"),
+ .SIM_COLLISION_CHECK("ALL"),
+ .SIM_DEVICE("7SERIES"),
+ .SRVAL_A(36'h000000000),
+ .SRVAL_B(36'h000000000),
+ .WRITE_MODE_A("WRITE_FIRST"),
+ .WRITE_MODE_B("WRITE_FIRST"),
+ .WRITE_WIDTH_A(9),
+ .WRITE_WIDTH_B(9))
+ \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram
+ (.ADDRARDADDR({1'b1,Q,1'b1,1'b1,1'b1}),
+ .ADDRBWRADDR({1'b1,\gc0.count_d1_reg[11] ,1'b1,1'b1,1'b1}),
+ .CASCADEINA(1'b0),
+ .CASCADEINB(1'b0),
+ .CASCADEOUTA(\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED ),
+ .CASCADEOUTB(\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED ),
+ .CLKARDCLK(wr_clk),
+ .CLKBWRCLK(rd_clk),
+ .DBITERR(\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED ),
+ .DIADI({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,din[7:0]}),
+ .DIBDI({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}),
+ .DIPADIP({1'b0,1'b0,1'b0,din[8]}),
+ .DIPBDIP({1'b0,1'b0,1'b0,1'b0}),
+ .DOADO(\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED [31:0]),
+ .DOBDO({\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED [31:8],\dout[13] }),
+ .DOPADOP(\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED [3:0]),
+ .DOPBDOP({\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED [3:1],\dout[14] }),
+ .ECCPARITY(\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED [7:0]),
+ .ENARDEN(ram_full_fb_i_reg),
+ .ENBWREN(ram_empty_fb_i_reg),
+ .INJECTDBITERR(1'b0),
+ .INJECTSBITERR(1'b0),
+ .RDADDRECC(\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED [8:0]),
+ .REGCEAREGCE(1'b0),
+ .REGCEB(1'b0),
+ .RSTRAMARSTRAM(1'b0),
+ .RSTRAMB(1'b0),
+ .RSTREGARSTREG(1'b0),
+ .RSTREGB(1'b0),
+ .SBITERR(\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED ),
+ .WEA({WEA,WEA,WEA,WEA}),
+ .WEBWE({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}));
+endmodule
+
+(* ORIG_REF_NAME = "blk_mem_gen_prim_wrapper" *)
+module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper__parameterized2
+ (DOBDO,
+ DOPBDOP,
+ wr_clk,
+ rd_clk,
+ ena_array,
+ enb_array,
+ Q,
+ \gc0.count_d1_reg[11] ,
+ din,
+ WEA);
+ output [7:0]DOBDO;
+ output [0:0]DOPBDOP;
+ input wr_clk;
+ input rd_clk;
+ input [0:0]ena_array;
+ input [0:0]enb_array;
+ input [11:0]Q;
+ input [11:0]\gc0.count_d1_reg[11] ;
+ input [8:0]din;
+ input [0:0]WEA;
+
+ wire [7:0]DOBDO;
+ wire [0:0]DOPBDOP;
+ wire [11:0]Q;
+ wire [0:0]WEA;
+ wire [8:0]din;
+ wire [0:0]ena_array;
+ wire [0:0]enb_array;
+ wire [11:0]\gc0.count_d1_reg[11] ;
+ wire rd_clk;
+ wire wr_clk;
+ wire \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED ;
+ wire \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED ;
+ wire \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED ;
+ wire \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED ;
+ wire [31:0]\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED ;
+ wire [31:8]\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED ;
+ wire [3:0]\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED ;
+ wire [3:1]\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED ;
+ wire [7:0]\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED ;
+ wire [8:0]\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED ;
+
+ (* CLOCK_DOMAINS = "INDEPENDENT" *)
+ (* box_type = "PRIMITIVE" *)
+ RAMB36E1 #(
+ .DOA_REG(0),
+ .DOB_REG(0),
+ .EN_ECC_READ("FALSE"),
+ .EN_ECC_WRITE("FALSE"),
+ .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_04(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_05(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_06(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_07(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_08(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_09(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_0A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_0B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_0C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_0D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_0E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_0F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_04(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_05(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_06(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_07(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_08(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_09(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_0A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_0B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_0C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_0D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_0E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_0F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_10(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_11(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_12(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_13(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_14(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_20(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_21(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_22(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_23(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_24(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_25(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_26(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_27(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_28(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_29(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_2A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_2B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_2C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_2D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_2E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_2F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_30(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_31(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_32(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_33(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_34(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_35(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_36(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_37(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_38(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_39(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_3A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_3B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_3C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_3D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_3E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_3F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_40(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_41(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_42(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_43(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_44(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_45(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_46(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_47(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_48(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_49(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_4A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_4B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_4C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_4D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_4E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_4F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_50(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_51(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_52(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_53(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_54(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_55(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_56(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_57(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_58(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_59(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_5A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_5B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_5C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_5D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_5E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_5F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_60(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_61(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_62(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_63(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_64(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_65(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_66(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_67(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_68(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_69(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_6A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_6B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_6C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_6D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_6E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_6F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_70(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_71(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_72(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_73(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_74(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_75(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_76(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_77(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_78(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_79(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_7A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_7B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_7C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_7D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_7E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_7F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_A(36'h000000000),
+ .INIT_B(36'h000000000),
+ .INIT_FILE("NONE"),
+ .IS_CLKARDCLK_INVERTED(1'b0),
+ .IS_CLKBWRCLK_INVERTED(1'b0),
+ .IS_ENARDEN_INVERTED(1'b0),
+ .IS_ENBWREN_INVERTED(1'b0),
+ .IS_RSTRAMARSTRAM_INVERTED(1'b0),
+ .IS_RSTRAMB_INVERTED(1'b0),
+ .IS_RSTREGARSTREG_INVERTED(1'b0),
+ .IS_RSTREGB_INVERTED(1'b0),
+ .RAM_EXTENSION_A("NONE"),
+ .RAM_EXTENSION_B("NONE"),
+ .RAM_MODE("TDP"),
+ .RDADDR_COLLISION_HWCONFIG("DELAYED_WRITE"),
+ .READ_WIDTH_A(9),
+ .READ_WIDTH_B(9),
+ .RSTREG_PRIORITY_A("REGCE"),
+ .RSTREG_PRIORITY_B("REGCE"),
+ .SIM_COLLISION_CHECK("ALL"),
+ .SIM_DEVICE("7SERIES"),
+ .SRVAL_A(36'h000000000),
+ .SRVAL_B(36'h000000000),
+ .WRITE_MODE_A("WRITE_FIRST"),
+ .WRITE_MODE_B("WRITE_FIRST"),
+ .WRITE_WIDTH_A(9),
+ .WRITE_WIDTH_B(9))
+ \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram
+ (.ADDRARDADDR({1'b1,Q,1'b1,1'b1,1'b1}),
+ .ADDRBWRADDR({1'b1,\gc0.count_d1_reg[11] ,1'b1,1'b1,1'b1}),
+ .CASCADEINA(1'b0),
+ .CASCADEINB(1'b0),
+ .CASCADEOUTA(\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED ),
+ .CASCADEOUTB(\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED ),
+ .CLKARDCLK(wr_clk),
+ .CLKBWRCLK(rd_clk),
+ .DBITERR(\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED ),
+ .DIADI({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,din[7:0]}),
+ .DIBDI({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}),
+ .DIPADIP({1'b0,1'b0,1'b0,din[8]}),
+ .DIPBDIP({1'b0,1'b0,1'b0,1'b0}),
+ .DOADO(\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED [31:0]),
+ .DOBDO({\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED [31:8],DOBDO}),
+ .DOPADOP(\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED [3:0]),
+ .DOPBDOP({\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED [3:1],DOPBDOP}),
+ .ECCPARITY(\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED [7:0]),
+ .ENARDEN(ena_array),
+ .ENBWREN(enb_array),
+ .INJECTDBITERR(1'b0),
+ .INJECTSBITERR(1'b0),
+ .RDADDRECC(\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED [8:0]),
+ .REGCEAREGCE(1'b0),
+ .REGCEB(1'b0),
+ .RSTRAMARSTRAM(1'b0),
+ .RSTRAMB(1'b0),
+ .RSTREGARSTREG(1'b0),
+ .RSTREGB(1'b0),
+ .SBITERR(\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED ),
+ .WEA({WEA,WEA,WEA,WEA}),
+ .WEBWE({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}));
+endmodule
+
+(* ORIG_REF_NAME = "blk_mem_gen_prim_wrapper" *)
+module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper__parameterized3
+ (\dout[22] ,
+ \dout[23] ,
+ wr_clk,
+ rd_clk,
+ ram_full_fb_i_reg,
+ ram_empty_fb_i_reg,
+ Q,
+ \gc0.count_d1_reg[11] ,
+ din,
+ WEA);
+ output [7:0]\dout[22] ;
+ output [0:0]\dout[23] ;
+ input wr_clk;
+ input rd_clk;
+ input ram_full_fb_i_reg;
+ input ram_empty_fb_i_reg;
+ input [11:0]Q;
+ input [11:0]\gc0.count_d1_reg[11] ;
+ input [8:0]din;
+ input [0:0]WEA;
+
+ wire [11:0]Q;
+ wire [0:0]WEA;
+ wire [8:0]din;
+ wire [7:0]\dout[22] ;
+ wire [0:0]\dout[23] ;
+ wire [11:0]\gc0.count_d1_reg[11] ;
+ wire ram_empty_fb_i_reg;
+ wire ram_full_fb_i_reg;
+ wire rd_clk;
+ wire wr_clk;
+ wire \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED ;
+ wire \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED ;
+ wire \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED ;
+ wire \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED ;
+ wire [31:0]\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED ;
+ wire [31:8]\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED ;
+ wire [3:0]\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED ;
+ wire [3:1]\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED ;
+ wire [7:0]\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED ;
+ wire [8:0]\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED ;
+
+ (* CLOCK_DOMAINS = "INDEPENDENT" *)
+ (* box_type = "PRIMITIVE" *)
+ RAMB36E1 #(
+ .DOA_REG(0),
+ .DOB_REG(0),
+ .EN_ECC_READ("FALSE"),
+ .EN_ECC_WRITE("FALSE"),
+ .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_04(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_05(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_06(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_07(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_08(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_09(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_0A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_0B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_0C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_0D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_0E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_0F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_04(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_05(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_06(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_07(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_08(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_09(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_0A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_0B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_0C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_0D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_0E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_0F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_10(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_11(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_12(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_13(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_14(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_20(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_21(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_22(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_23(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_24(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_25(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_26(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_27(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_28(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_29(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_2A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_2B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_2C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_2D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_2E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_2F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_30(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_31(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_32(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_33(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_34(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_35(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_36(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_37(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_38(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_39(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_3A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_3B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_3C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_3D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_3E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_3F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_40(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_41(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_42(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_43(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_44(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_45(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_46(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_47(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_48(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_49(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_4A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_4B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_4C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_4D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_4E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_4F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_50(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_51(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_52(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_53(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_54(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_55(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_56(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_57(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_58(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_59(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_5A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_5B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_5C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_5D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_5E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_5F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_60(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_61(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_62(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_63(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_64(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_65(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_66(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_67(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_68(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_69(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_6A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_6B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_6C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_6D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_6E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_6F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_70(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_71(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_72(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_73(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_74(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_75(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_76(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_77(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_78(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_79(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_7A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_7B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_7C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_7D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_7E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_7F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_A(36'h000000000),
+ .INIT_B(36'h000000000),
+ .INIT_FILE("NONE"),
+ .IS_CLKARDCLK_INVERTED(1'b0),
+ .IS_CLKBWRCLK_INVERTED(1'b0),
+ .IS_ENARDEN_INVERTED(1'b0),
+ .IS_ENBWREN_INVERTED(1'b0),
+ .IS_RSTRAMARSTRAM_INVERTED(1'b0),
+ .IS_RSTRAMB_INVERTED(1'b0),
+ .IS_RSTREGARSTREG_INVERTED(1'b0),
+ .IS_RSTREGB_INVERTED(1'b0),
+ .RAM_EXTENSION_A("NONE"),
+ .RAM_EXTENSION_B("NONE"),
+ .RAM_MODE("TDP"),
+ .RDADDR_COLLISION_HWCONFIG("DELAYED_WRITE"),
+ .READ_WIDTH_A(9),
+ .READ_WIDTH_B(9),
+ .RSTREG_PRIORITY_A("REGCE"),
+ .RSTREG_PRIORITY_B("REGCE"),
+ .SIM_COLLISION_CHECK("ALL"),
+ .SIM_DEVICE("7SERIES"),
+ .SRVAL_A(36'h000000000),
+ .SRVAL_B(36'h000000000),
+ .WRITE_MODE_A("WRITE_FIRST"),
+ .WRITE_MODE_B("WRITE_FIRST"),
+ .WRITE_WIDTH_A(9),
+ .WRITE_WIDTH_B(9))
+ \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram
+ (.ADDRARDADDR({1'b1,Q,1'b1,1'b1,1'b1}),
+ .ADDRBWRADDR({1'b1,\gc0.count_d1_reg[11] ,1'b1,1'b1,1'b1}),
+ .CASCADEINA(1'b0),
+ .CASCADEINB(1'b0),
+ .CASCADEOUTA(\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED ),
+ .CASCADEOUTB(\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED ),
+ .CLKARDCLK(wr_clk),
+ .CLKBWRCLK(rd_clk),
+ .DBITERR(\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED ),
+ .DIADI({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,din[7:0]}),
+ .DIBDI({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}),
+ .DIPADIP({1'b0,1'b0,1'b0,din[8]}),
+ .DIPBDIP({1'b0,1'b0,1'b0,1'b0}),
+ .DOADO(\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED [31:0]),
+ .DOBDO({\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED [31:8],\dout[22] }),
+ .DOPADOP(\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED [3:0]),
+ .DOPBDOP({\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED [3:1],\dout[23] }),
+ .ECCPARITY(\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED [7:0]),
+ .ENARDEN(ram_full_fb_i_reg),
+ .ENBWREN(ram_empty_fb_i_reg),
+ .INJECTDBITERR(1'b0),
+ .INJECTSBITERR(1'b0),
+ .RDADDRECC(\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED [8:0]),
+ .REGCEAREGCE(1'b0),
+ .REGCEB(1'b0),
+ .RSTRAMARSTRAM(1'b0),
+ .RSTRAMB(1'b0),
+ .RSTREGARSTREG(1'b0),
+ .RSTREGB(1'b0),
+ .SBITERR(\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED ),
+ .WEA({WEA,WEA,WEA,WEA}),
+ .WEBWE({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}));
+endmodule
+
+(* ORIG_REF_NAME = "blk_mem_gen_prim_wrapper" *)
+module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper__parameterized4
+ (\dout[22] ,
+ \dout[23] ,
+ wr_clk,
+ rd_clk,
+ ena_array,
+ enb_array,
+ Q,
+ \gc0.count_d1_reg[11] ,
+ din,
+ WEA);
+ output [7:0]\dout[22] ;
+ output [0:0]\dout[23] ;
+ input wr_clk;
+ input rd_clk;
+ input [0:0]ena_array;
+ input [0:0]enb_array;
+ input [11:0]Q;
+ input [11:0]\gc0.count_d1_reg[11] ;
+ input [8:0]din;
+ input [0:0]WEA;
+
+ wire [11:0]Q;
+ wire [0:0]WEA;
+ wire [8:0]din;
+ wire [7:0]\dout[22] ;
+ wire [0:0]\dout[23] ;
+ wire [0:0]ena_array;
+ wire [0:0]enb_array;
+ wire [11:0]\gc0.count_d1_reg[11] ;
+ wire rd_clk;
+ wire wr_clk;
+ wire \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED ;
+ wire \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED ;
+ wire \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED ;
+ wire \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED ;
+ wire [31:0]\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED ;
+ wire [31:8]\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED ;
+ wire [3:0]\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED ;
+ wire [3:1]\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED ;
+ wire [7:0]\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED ;
+ wire [8:0]\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED ;
+
+ (* CLOCK_DOMAINS = "INDEPENDENT" *)
+ (* box_type = "PRIMITIVE" *)
+ RAMB36E1 #(
+ .DOA_REG(0),
+ .DOB_REG(0),
+ .EN_ECC_READ("FALSE"),
+ .EN_ECC_WRITE("FALSE"),
+ .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_04(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_05(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_06(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_07(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_08(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_09(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_0A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_0B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_0C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_0D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_0E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INITP_0F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_04(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_05(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_06(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_07(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_08(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_09(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_0A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_0B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_0C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_0D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_0E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_0F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_10(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_11(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_12(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_13(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_14(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_20(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_21(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_22(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_23(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_24(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_25(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_26(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_27(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_28(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_29(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_2A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_2B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_2C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_2D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_2E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_2F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_30(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_31(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_32(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_33(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_34(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_35(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_36(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_37(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_38(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_39(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_3A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_3B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_3C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_3D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_3E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_3F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_40(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_41(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_42(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_43(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_44(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_45(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_46(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_47(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_48(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_49(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_4A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_4B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_4C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_4D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_4E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_4F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_50(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_51(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_52(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_53(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_54(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_55(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_56(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_57(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_58(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_59(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_5A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_5B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_5C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_5D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_5E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_5F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_60(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_61(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_62(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_63(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_64(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_65(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_66(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_67(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_68(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_69(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_6A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_6B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_6C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_6D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_6E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_6F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_70(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_71(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_72(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_73(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_74(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_75(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_76(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_77(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_78(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_79(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_7A(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_7B(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_7C(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_7D(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_7E(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_7F(256'h0000000000000000000000000000000000000000000000000000000000000000),
+ .INIT_A(36'h000000000),
+ .INIT_B(36'h000000000),
+ .INIT_FILE("NONE"),
+ .IS_CLKARDCLK_INVERTED(1'b0),
+ .IS_CLKBWRCLK_INVERTED(1'b0),
+ .IS_ENARDEN_INVERTED(1'b0),
+ .IS_ENBWREN_INVERTED(1'b0),
+ .IS_RSTRAMARSTRAM_INVERTED(1'b0),
+ .IS_RSTRAMB_INVERTED(1'b0),
+ .IS_RSTREGARSTREG_INVERTED(1'b0),
+ .IS_RSTREGB_INVERTED(1'b0),
+ .RAM_EXTENSION_A("NONE"),
+ .RAM_EXTENSION_B("NONE"),
+ .RAM_MODE("TDP"),
+ .RDADDR_COLLISION_HWCONFIG("DELAYED_WRITE"),
+ .READ_WIDTH_A(9),
+ .READ_WIDTH_B(9),
+ .RSTREG_PRIORITY_A("REGCE"),
+ .RSTREG_PRIORITY_B("REGCE"),
+ .SIM_COLLISION_CHECK("ALL"),
+ .SIM_DEVICE("7SERIES"),
+ .SRVAL_A(36'h000000000),
+ .SRVAL_B(36'h000000000),
+ .WRITE_MODE_A("WRITE_FIRST"),
+ .WRITE_MODE_B("WRITE_FIRST"),
+ .WRITE_WIDTH_A(9),
+ .WRITE_WIDTH_B(9))
+ \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram
+ (.ADDRARDADDR({1'b1,Q,1'b1,1'b1,1'b1}),
+ .ADDRBWRADDR({1'b1,\gc0.count_d1_reg[11] ,1'b1,1'b1,1'b1}),
+ .CASCADEINA(1'b0),
+ .CASCADEINB(1'b0),
+ .CASCADEOUTA(\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED ),
+ .CASCADEOUTB(\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED ),
+ .CLKARDCLK(wr_clk),
+ .CLKBWRCLK(rd_clk),
+ .DBITERR(\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED ),
+ .DIADI({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,din[7:0]}),
+ .DIBDI({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}),
+ .DIPADIP({1'b0,1'b0,1'b0,din[8]}),
+ .DIPBDIP({1'b0,1'b0,1'b0,1'b0}),
+ .DOADO(\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED [31:0]),
+ .DOBDO({\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED [31:8],\dout[22] }),
+ .DOPADOP(\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED [3:0]),
+ .DOPBDOP({\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED [3:1],\dout[23] }),
+ .ECCPARITY(\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED [7:0]),
+ .ENARDEN(ena_array),
+ .ENBWREN(enb_array),
+ .INJECTDBITERR(1'b0),
+ .INJECTSBITERR(1'b0),
+ .RDADDRECC(\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED [8:0]),
+ .REGCEAREGCE(1'b0),
+ .REGCEB(1'b0),
+ .RSTRAMARSTRAM(1'b0),
+ .RSTRAMB(1'b0),
+ .RSTREGARSTREG(1'b0),
+ .RSTREGB(1'b0),
+ .SBITERR(\NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED ),
+ .WEA({WEA,WEA,WEA,WEA}),
+ .WEBWE({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}));
+endmodule
+
+module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_top
+ (dout,
+ \no_softecc_sel_reg.ce_pri.sel_pipe_reg[0] ,
+ wr_clk,
+ rd_clk,
+ ram_full_fb_i_reg,
+ ram_empty_fb_i_reg,
+ Q,
+ \gc0.count_d1_reg[12] ,
+ din,
+ WEA,
+ ram_full_fb_i_reg_0,
+ ram_empty_fb_i_reg_0,
+ ena_array,
+ enb_array,
+ \gc0.count_d1_reg[12]_0 );
+ output [23:0]dout;
+ output \no_softecc_sel_reg.ce_pri.sel_pipe_reg[0] ;
+ input wr_clk;
+ input rd_clk;
+ input ram_full_fb_i_reg;
+ input ram_empty_fb_i_reg;
+ input [12:0]Q;
+ input [12:0]\gc0.count_d1_reg[12] ;
+ input [23:0]din;
+ input [0:0]WEA;
+ input ram_full_fb_i_reg_0;
+ input ram_empty_fb_i_reg_0;
+ input [0:0]ena_array;
+ input [0:0]enb_array;
+ input \gc0.count_d1_reg[12]_0 ;
+
+ wire [12:0]Q;
+ wire [0:0]WEA;
+ wire [23:0]din;
+ wire [23:0]dout;
+ wire [0:0]ena_array;
+ wire [0:0]enb_array;
+ wire [12:0]\gc0.count_d1_reg[12] ;
+ wire \gc0.count_d1_reg[12]_0 ;
+ wire \no_softecc_sel_reg.ce_pri.sel_pipe_reg[0] ;
+ wire ram_empty_fb_i_reg;
+ wire ram_empty_fb_i_reg_0;
+ wire ram_full_fb_i_reg;
+ wire ram_full_fb_i_reg_0;
+ wire rd_clk;
+ wire wr_clk;
+
+ decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_generic_cstr \valid.cstr
+ (.Q(Q),
+ .WEA(WEA),
+ .din(din),
+ .dout(dout),
+ .ena_array(ena_array),
+ .enb_array(enb_array),
+ .\gc0.count_d1_reg[12] (\gc0.count_d1_reg[12] ),
+ .\gc0.count_d1_reg[12]_0 (\gc0.count_d1_reg[12]_0 ),
+ .\no_softecc_sel_reg.ce_pri.sel_pipe_reg[0] (\no_softecc_sel_reg.ce_pri.sel_pipe_reg[0] ),
+ .ram_empty_fb_i_reg(ram_empty_fb_i_reg),
+ .ram_empty_fb_i_reg_0(ram_empty_fb_i_reg_0),
+ .ram_full_fb_i_reg(ram_full_fb_i_reg),
+ .ram_full_fb_i_reg_0(ram_full_fb_i_reg_0),
+ .rd_clk(rd_clk),
+ .wr_clk(wr_clk));
+endmodule
+
+module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_4
+ (dout,
+ \no_softecc_sel_reg.ce_pri.sel_pipe_reg[0] ,
+ wr_clk,
+ rd_clk,
+ ram_full_fb_i_reg,
+ ram_empty_fb_i_reg,
+ Q,
+ \gc0.count_d1_reg[12] ,
+ din,
+ WEA,
+ ram_full_fb_i_reg_0,
+ ram_empty_fb_i_reg_0,
+ ena_array,
+ enb_array,
+ \gc0.count_d1_reg[12]_0 );
+ output [23:0]dout;
+ output \no_softecc_sel_reg.ce_pri.sel_pipe_reg[0] ;
+ input wr_clk;
+ input rd_clk;
+ input ram_full_fb_i_reg;
+ input ram_empty_fb_i_reg;
+ input [12:0]Q;
+ input [12:0]\gc0.count_d1_reg[12] ;
+ input [23:0]din;
+ input [0:0]WEA;
+ input ram_full_fb_i_reg_0;
+ input ram_empty_fb_i_reg_0;
+ input [0:0]ena_array;
+ input [0:0]enb_array;
+ input \gc0.count_d1_reg[12]_0 ;
+
+ wire [12:0]Q;
+ wire [0:0]WEA;
+ wire [23:0]din;
+ wire [23:0]dout;
+ wire [0:0]ena_array;
+ wire [0:0]enb_array;
+ wire [12:0]\gc0.count_d1_reg[12] ;
+ wire \gc0.count_d1_reg[12]_0 ;
+ wire \no_softecc_sel_reg.ce_pri.sel_pipe_reg[0] ;
+ wire ram_empty_fb_i_reg;
+ wire ram_empty_fb_i_reg_0;
+ wire ram_full_fb_i_reg;
+ wire ram_full_fb_i_reg_0;
+ wire rd_clk;
+ wire wr_clk;
+
+ decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_4_synth inst_blk_mem_gen
+ (.Q(Q),
+ .WEA(WEA),
+ .din(din),
+ .dout(dout),
+ .ena_array(ena_array),
+ .enb_array(enb_array),
+ .\gc0.count_d1_reg[12] (\gc0.count_d1_reg[12] ),
+ .\gc0.count_d1_reg[12]_0 (\gc0.count_d1_reg[12]_0 ),
+ .\no_softecc_sel_reg.ce_pri.sel_pipe_reg[0] (\no_softecc_sel_reg.ce_pri.sel_pipe_reg[0] ),
+ .ram_empty_fb_i_reg(ram_empty_fb_i_reg),
+ .ram_empty_fb_i_reg_0(ram_empty_fb_i_reg_0),
+ .ram_full_fb_i_reg(ram_full_fb_i_reg),
+ .ram_full_fb_i_reg_0(ram_full_fb_i_reg_0),
+ .rd_clk(rd_clk),
+ .wr_clk(wr_clk));
+endmodule
+
+module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_4_synth
+ (dout,
+ \no_softecc_sel_reg.ce_pri.sel_pipe_reg[0] ,
+ wr_clk,
+ rd_clk,
+ ram_full_fb_i_reg,
+ ram_empty_fb_i_reg,
+ Q,
+ \gc0.count_d1_reg[12] ,
+ din,
+ WEA,
+ ram_full_fb_i_reg_0,
+ ram_empty_fb_i_reg_0,
+ ena_array,
+ enb_array,
+ \gc0.count_d1_reg[12]_0 );
+ output [23:0]dout;
+ output \no_softecc_sel_reg.ce_pri.sel_pipe_reg[0] ;
+ input wr_clk;
+ input rd_clk;
+ input ram_full_fb_i_reg;
+ input ram_empty_fb_i_reg;
+ input [12:0]Q;
+ input [12:0]\gc0.count_d1_reg[12] ;
+ input [23:0]din;
+ input [0:0]WEA;
+ input ram_full_fb_i_reg_0;
+ input ram_empty_fb_i_reg_0;
+ input [0:0]ena_array;
+ input [0:0]enb_array;
+ input \gc0.count_d1_reg[12]_0 ;
+
+ wire [12:0]Q;
+ wire [0:0]WEA;
+ wire [23:0]din;
+ wire [23:0]dout;
+ wire [0:0]ena_array;
+ wire [0:0]enb_array;
+ wire [12:0]\gc0.count_d1_reg[12] ;
+ wire \gc0.count_d1_reg[12]_0 ;
+ wire \no_softecc_sel_reg.ce_pri.sel_pipe_reg[0] ;
+ wire ram_empty_fb_i_reg;
+ wire ram_empty_fb_i_reg_0;
+ wire ram_full_fb_i_reg;
+ wire ram_full_fb_i_reg_0;
+ wire rd_clk;
+ wire wr_clk;
+
+ decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_top \gnbram.gnativebmg.native_blk_mem_gen
+ (.Q(Q),
+ .WEA(WEA),
+ .din(din),
+ .dout(dout),
+ .ena_array(ena_array),
+ .enb_array(enb_array),
+ .\gc0.count_d1_reg[12] (\gc0.count_d1_reg[12] ),
+ .\gc0.count_d1_reg[12]_0 (\gc0.count_d1_reg[12]_0 ),
+ .\no_softecc_sel_reg.ce_pri.sel_pipe_reg[0] (\no_softecc_sel_reg.ce_pri.sel_pipe_reg[0] ),
+ .ram_empty_fb_i_reg(ram_empty_fb_i_reg),
+ .ram_empty_fb_i_reg_0(ram_empty_fb_i_reg_0),
+ .ram_full_fb_i_reg(ram_full_fb_i_reg),
+ .ram_full_fb_i_reg_0(ram_full_fb_i_reg_0),
+ .rd_clk(rd_clk),
+ .wr_clk(wr_clk));
+endmodule
+
+module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_clk_x_pntrs
+ (ram_full_i_reg,
+ RD_PNTR_WR,
+ ram_full_i_reg_0,
+ WR_PNTR_RD,
+ Q,
+ D,
+ rd_clk,
+ wr_clk,
+ \gic0.gc0.count_d2_reg[12] ,
+ bin2gray,
+ I6);
+ output ram_full_i_reg;
+ output [11:0]RD_PNTR_WR;
+ output ram_full_i_reg_0;
+ output [12:0]WR_PNTR_RD;
+ input [0:0]Q;
+ input [0:0]D;
+ input rd_clk;
+ input wr_clk;
+ input [0:0]\gic0.gc0.count_d2_reg[12] ;
+ input [11:0]bin2gray;
+ input [12:0]I6;
+
+ wire [0:0]D;
+ wire [12:0]I6;
+ wire [0:0]Q;
+ wire [11:0]RD_PNTR_WR;
+ wire [12:0]WR_PNTR_RD;
+ wire [11:0]bin2gray;
+ wire [0:0]\gic0.gc0.count_d2_reg[12] ;
+ wire \gnxpm_cdc.gsync_stage[2].wr_stg_inst_n_1 ;
+ wire \gnxpm_cdc.gsync_stage[2].wr_stg_inst_n_10 ;
+ wire \gnxpm_cdc.gsync_stage[2].wr_stg_inst_n_11 ;
+ wire \gnxpm_cdc.gsync_stage[2].wr_stg_inst_n_12 ;
+ wire \gnxpm_cdc.gsync_stage[2].wr_stg_inst_n_2 ;
+ wire \gnxpm_cdc.gsync_stage[2].wr_stg_inst_n_3 ;
+ wire \gnxpm_cdc.gsync_stage[2].wr_stg_inst_n_4 ;
+ wire \gnxpm_cdc.gsync_stage[2].wr_stg_inst_n_5 ;
+ wire \gnxpm_cdc.gsync_stage[2].wr_stg_inst_n_6 ;
+ wire \gnxpm_cdc.gsync_stage[2].wr_stg_inst_n_7 ;
+ wire \gnxpm_cdc.gsync_stage[2].wr_stg_inst_n_8 ;
+ wire \gnxpm_cdc.gsync_stage[2].wr_stg_inst_n_9 ;
+ wire [10:0]gray2bin;
+ wire p_0_out;
+ wire [12:12]p_23_out;
+ wire [12:0]p_3_out;
+ wire [12:0]p_4_out;
+ wire [12:12]p_5_out;
+ wire [12:12]p_6_out;
+ wire ram_full_i_reg;
+ wire ram_full_i_reg_0;
+ wire rd_clk;
+ wire [12:0]rd_pntr_gc;
+ wire wr_clk;
+ wire [12:0]wr_pntr_gc;
+
+ LUT2 #(
+ .INIT(4'h9))
+ \gmux.gm[6].gms.ms_i_1
+ (.I0(p_23_out),
+ .I1(Q),
+ .O(ram_full_i_reg));
+ LUT2 #(
+ .INIT(4'h9))
+ \gmux.gm[6].gms.ms_i_1__0
+ (.I0(p_23_out),
+ .I1(D),
+ .O(ram_full_i_reg_0));
+ decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_synchronizer_ff \gnxpm_cdc.gsync_stage[1].rd_stg_inst
+ (.in0(wr_pntr_gc),
+ .out(p_3_out),
+ .rd_clk(rd_clk));
+ decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_synchronizer_ff_3 \gnxpm_cdc.gsync_stage[1].wr_stg_inst
+ (.Q(rd_pntr_gc),
+ .out(p_4_out),
+ .wr_clk(wr_clk));
+ decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_synchronizer_ff_4 \gnxpm_cdc.gsync_stage[2].rd_stg_inst
+ (.D({p_0_out,gray2bin}),
+ .\gnxpm_cdc.wr_pntr_bin_reg[12] (p_5_out),
+ .out(p_3_out),
+ .rd_clk(rd_clk));
+ decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_synchronizer_ff_5 \gnxpm_cdc.gsync_stage[2].wr_stg_inst
+ (.D({\gnxpm_cdc.gsync_stage[2].wr_stg_inst_n_1 ,\gnxpm_cdc.gsync_stage[2].wr_stg_inst_n_2 ,\gnxpm_cdc.gsync_stage[2].wr_stg_inst_n_3 ,\gnxpm_cdc.gsync_stage[2].wr_stg_inst_n_4 ,\gnxpm_cdc.gsync_stage[2].wr_stg_inst_n_5 ,\gnxpm_cdc.gsync_stage[2].wr_stg_inst_n_6 ,\gnxpm_cdc.gsync_stage[2].wr_stg_inst_n_7 ,\gnxpm_cdc.gsync_stage[2].wr_stg_inst_n_8 ,\gnxpm_cdc.gsync_stage[2].wr_stg_inst_n_9 ,\gnxpm_cdc.gsync_stage[2].wr_stg_inst_n_10 ,\gnxpm_cdc.gsync_stage[2].wr_stg_inst_n_11 ,\gnxpm_cdc.gsync_stage[2].wr_stg_inst_n_12 }),
+ .\gnxpm_cdc.rd_pntr_bin_reg[12] (p_6_out),
+ .out(p_4_out),
+ .wr_clk(wr_clk));
+ FDRE #(
+ .INIT(1'b0))
+ \gnxpm_cdc.rd_pntr_bin_reg[0]
+ (.C(wr_clk),
+ .CE(1'b1),
+ .D(\gnxpm_cdc.gsync_stage[2].wr_stg_inst_n_12 ),
+ .Q(RD_PNTR_WR[0]),
+ .R(1'b0));
+ FDRE #(
+ .INIT(1'b0))
+ \gnxpm_cdc.rd_pntr_bin_reg[10]
+ (.C(wr_clk),
+ .CE(1'b1),
+ .D(\gnxpm_cdc.gsync_stage[2].wr_stg_inst_n_2 ),
+ .Q(RD_PNTR_WR[10]),
+ .R(1'b0));
+ FDRE #(
+ .INIT(1'b0))
+ \gnxpm_cdc.rd_pntr_bin_reg[11]
+ (.C(wr_clk),
+ .CE(1'b1),
+ .D(\gnxpm_cdc.gsync_stage[2].wr_stg_inst_n_1 ),
+ .Q(RD_PNTR_WR[11]),
+ .R(1'b0));
+ FDRE #(
+ .INIT(1'b0))
+ \gnxpm_cdc.rd_pntr_bin_reg[12]
+ (.C(wr_clk),
+ .CE(1'b1),
+ .D(p_6_out),
+ .Q(p_23_out),
+ .R(1'b0));
+ FDRE #(
+ .INIT(1'b0))
+ \gnxpm_cdc.rd_pntr_bin_reg[1]
+ (.C(wr_clk),
+ .CE(1'b1),
+ .D(\gnxpm_cdc.gsync_stage[2].wr_stg_inst_n_11 ),
+ .Q(RD_PNTR_WR[1]),
+ .R(1'b0));
+ FDRE #(
+ .INIT(1'b0))
+ \gnxpm_cdc.rd_pntr_bin_reg[2]
+ (.C(wr_clk),
+ .CE(1'b1),
+ .D(\gnxpm_cdc.gsync_stage[2].wr_stg_inst_n_10 ),
+ .Q(RD_PNTR_WR[2]),
+ .R(1'b0));
+ FDRE #(
+ .INIT(1'b0))
+ \gnxpm_cdc.rd_pntr_bin_reg[3]
+ (.C(wr_clk),
+ .CE(1'b1),
+ .D(\gnxpm_cdc.gsync_stage[2].wr_stg_inst_n_9 ),
+ .Q(RD_PNTR_WR[3]),
+ .R(1'b0));
+ FDRE #(
+ .INIT(1'b0))
+ \gnxpm_cdc.rd_pntr_bin_reg[4]
+ (.C(wr_clk),
+ .CE(1'b1),
+ .D(\gnxpm_cdc.gsync_stage[2].wr_stg_inst_n_8 ),
+ .Q(RD_PNTR_WR[4]),
+ .R(1'b0));
+ FDRE #(
+ .INIT(1'b0))
+ \gnxpm_cdc.rd_pntr_bin_reg[5]
+ (.C(wr_clk),
+ .CE(1'b1),
+ .D(\gnxpm_cdc.gsync_stage[2].wr_stg_inst_n_7 ),
+ .Q(RD_PNTR_WR[5]),
+ .R(1'b0));
+ FDRE #(
+ .INIT(1'b0))
+ \gnxpm_cdc.rd_pntr_bin_reg[6]
+ (.C(wr_clk),
+ .CE(1'b1),
+ .D(\gnxpm_cdc.gsync_stage[2].wr_stg_inst_n_6 ),
+ .Q(RD_PNTR_WR[6]),
+ .R(1'b0));
+ FDRE #(
+ .INIT(1'b0))
+ \gnxpm_cdc.rd_pntr_bin_reg[7]
+ (.C(wr_clk),
+ .CE(1'b1),
+ .D(\gnxpm_cdc.gsync_stage[2].wr_stg_inst_n_5 ),
+ .Q(RD_PNTR_WR[7]),
+ .R(1'b0));
+ FDRE #(
+ .INIT(1'b0))
+ \gnxpm_cdc.rd_pntr_bin_reg[8]
+ (.C(wr_clk),
+ .CE(1'b1),
+ .D(\gnxpm_cdc.gsync_stage[2].wr_stg_inst_n_4 ),
+ .Q(RD_PNTR_WR[8]),
+ .R(1'b0));
+ FDRE #(
+ .INIT(1'b0))
+ \gnxpm_cdc.rd_pntr_bin_reg[9]
+ (.C(wr_clk),
+ .CE(1'b1),
+ .D(\gnxpm_cdc.gsync_stage[2].wr_stg_inst_n_3 ),
+ .Q(RD_PNTR_WR[9]),
+ .R(1'b0));
+ FDRE #(
+ .INIT(1'b0))
+ \gnxpm_cdc.rd_pntr_gc_reg[0]
+ (.C(rd_clk),
+ .CE(1'b1),
+ .D(I6[0]),
+ .Q(rd_pntr_gc[0]),
+ .R(1'b0));
+ FDRE #(
+ .INIT(1'b0))
+ \gnxpm_cdc.rd_pntr_gc_reg[10]
+ (.C(rd_clk),
+ .CE(1'b1),
+ .D(I6[10]),
+ .Q(rd_pntr_gc[10]),
+ .R(1'b0));
+ FDRE #(
+ .INIT(1'b0))
+ \gnxpm_cdc.rd_pntr_gc_reg[11]
+ (.C(rd_clk),
+ .CE(1'b1),
+ .D(I6[11]),
+ .Q(rd_pntr_gc[11]),
+ .R(1'b0));
+ FDRE #(
+ .INIT(1'b0))
+ \gnxpm_cdc.rd_pntr_gc_reg[12]
+ (.C(rd_clk),
+ .CE(1'b1),
+ .D(I6[12]),
+ .Q(rd_pntr_gc[12]),
+ .R(1'b0));
+ FDRE #(
+ .INIT(1'b0))
+ \gnxpm_cdc.rd_pntr_gc_reg[1]
+ (.C(rd_clk),
+ .CE(1'b1),
+ .D(I6[1]),
+ .Q(rd_pntr_gc[1]),
+ .R(1'b0));
+ FDRE #(
+ .INIT(1'b0))
+ \gnxpm_cdc.rd_pntr_gc_reg[2]
+ (.C(rd_clk),
+ .CE(1'b1),
+ .D(I6[2]),
+ .Q(rd_pntr_gc[2]),
+ .R(1'b0));
+ FDRE #(
+ .INIT(1'b0))
+ \gnxpm_cdc.rd_pntr_gc_reg[3]
+ (.C(rd_clk),
+ .CE(1'b1),
+ .D(I6[3]),
+ .Q(rd_pntr_gc[3]),
+ .R(1'b0));
+ FDRE #(
+ .INIT(1'b0))
+ \gnxpm_cdc.rd_pntr_gc_reg[4]
+ (.C(rd_clk),
+ .CE(1'b1),
+ .D(I6[4]),
+ .Q(rd_pntr_gc[4]),
+ .R(1'b0));
+ FDRE #(
+ .INIT(1'b0))
+ \gnxpm_cdc.rd_pntr_gc_reg[5]
+ (.C(rd_clk),
+ .CE(1'b1),
+ .D(I6[5]),
+ .Q(rd_pntr_gc[5]),
+ .R(1'b0));
+ FDRE #(
+ .INIT(1'b0))
+ \gnxpm_cdc.rd_pntr_gc_reg[6]
+ (.C(rd_clk),
+ .CE(1'b1),
+ .D(I6[6]),
+ .Q(rd_pntr_gc[6]),
+ .R(1'b0));
+ FDRE #(
+ .INIT(1'b0))
+ \gnxpm_cdc.rd_pntr_gc_reg[7]
+ (.C(rd_clk),
+ .CE(1'b1),
+ .D(I6[7]),
+ .Q(rd_pntr_gc[7]),
+ .R(1'b0));
+ FDRE #(
+ .INIT(1'b0))
+ \gnxpm_cdc.rd_pntr_gc_reg[8]
+ (.C(rd_clk),
+ .CE(1'b1),
+ .D(I6[8]),
+ .Q(rd_pntr_gc[8]),
+ .R(1'b0));
+ FDRE #(
+ .INIT(1'b0))
+ \gnxpm_cdc.rd_pntr_gc_reg[9]
+ (.C(rd_clk),
+ .CE(1'b1),
+ .D(I6[9]),
+ .Q(rd_pntr_gc[9]),
+ .R(1'b0));
+ FDRE #(
+ .INIT(1'b0))
+ \gnxpm_cdc.wr_pntr_bin_reg[0]
+ (.C(rd_clk),
+ .CE(1'b1),
+ .D(gray2bin[0]),
+ .Q(WR_PNTR_RD[0]),
+ .R(1'b0));
+ FDRE #(
+ .INIT(1'b0))
+ \gnxpm_cdc.wr_pntr_bin_reg[10]
+ (.C(rd_clk),
+ .CE(1'b1),
+ .D(gray2bin[10]),
+ .Q(WR_PNTR_RD[10]),
+ .R(1'b0));
+ FDRE #(
+ .INIT(1'b0))
+ \gnxpm_cdc.wr_pntr_bin_reg[11]
+ (.C(rd_clk),
+ .CE(1'b1),
+ .D(p_0_out),
+ .Q(WR_PNTR_RD[11]),
+ .R(1'b0));
+ FDRE #(
+ .INIT(1'b0))
+ \gnxpm_cdc.wr_pntr_bin_reg[12]
+ (.C(rd_clk),
+ .CE(1'b1),
+ .D(p_5_out),
+ .Q(WR_PNTR_RD[12]),
+ .R(1'b0));
+ FDRE #(
+ .INIT(1'b0))
+ \gnxpm_cdc.wr_pntr_bin_reg[1]
+ (.C(rd_clk),
+ .CE(1'b1),
+ .D(gray2bin[1]),
+ .Q(WR_PNTR_RD[1]),
+ .R(1'b0));
+ FDRE #(
+ .INIT(1'b0))
+ \gnxpm_cdc.wr_pntr_bin_reg[2]
+ (.C(rd_clk),
+ .CE(1'b1),
+ .D(gray2bin[2]),
+ .Q(WR_PNTR_RD[2]),
+ .R(1'b0));
+ FDRE #(
+ .INIT(1'b0))
+ \gnxpm_cdc.wr_pntr_bin_reg[3]
+ (.C(rd_clk),
+ .CE(1'b1),
+ .D(gray2bin[3]),
+ .Q(WR_PNTR_RD[3]),
+ .R(1'b0));
+ FDRE #(
+ .INIT(1'b0))
+ \gnxpm_cdc.wr_pntr_bin_reg[4]
+ (.C(rd_clk),
+ .CE(1'b1),
+ .D(gray2bin[4]),
+ .Q(WR_PNTR_RD[4]),
+ .R(1'b0));
+ FDRE #(
+ .INIT(1'b0))
+ \gnxpm_cdc.wr_pntr_bin_reg[5]
+ (.C(rd_clk),
+ .CE(1'b1),
+ .D(gray2bin[5]),
+ .Q(WR_PNTR_RD[5]),
+ .R(1'b0));
+ FDRE #(
+ .INIT(1'b0))
+ \gnxpm_cdc.wr_pntr_bin_reg[6]
+ (.C(rd_clk),
+ .CE(1'b1),
+ .D(gray2bin[6]),
+ .Q(WR_PNTR_RD[6]),
+ .R(1'b0));
+ FDRE #(
+ .INIT(1'b0))
+ \gnxpm_cdc.wr_pntr_bin_reg[7]
+ (.C(rd_clk),
+ .CE(1'b1),
+ .D(gray2bin[7]),
+ .Q(WR_PNTR_RD[7]),
+ .R(1'b0));
+ FDRE #(
+ .INIT(1'b0))
+ \gnxpm_cdc.wr_pntr_bin_reg[8]
+ (.C(rd_clk),
+ .CE(1'b1),
+ .D(gray2bin[8]),
+ .Q(WR_PNTR_RD[8]),
+ .R(1'b0));
+ FDRE #(
+ .INIT(1'b0))
+ \gnxpm_cdc.wr_pntr_bin_reg[9]
+ (.C(rd_clk),
+ .CE(1'b1),
+ .D(gray2bin[9]),
+ .Q(WR_PNTR_RD[9]),
+ .R(1'b0));
+ FDRE #(
+ .INIT(1'b0))
+ \gnxpm_cdc.wr_pntr_gc_reg[0]
+ (.C(wr_clk),
+ .CE(1'b1),
+ .D(bin2gray[0]),
+ .Q(wr_pntr_gc[0]),
+ .R(1'b0));
+ FDRE #(
+ .INIT(1'b0))
+ \gnxpm_cdc.wr_pntr_gc_reg[10]
+ (.C(wr_clk),
+ .CE(1'b1),
+ .D(bin2gray[10]),
+ .Q(wr_pntr_gc[10]),
+ .R(1'b0));
+ FDRE #(
+ .INIT(1'b0))
+ \gnxpm_cdc.wr_pntr_gc_reg[11]
+ (.C(wr_clk),
+ .CE(1'b1),
+ .D(bin2gray[11]),
+ .Q(wr_pntr_gc[11]),
+ .R(1'b0));
+ FDRE #(
+ .INIT(1'b0))
+ \gnxpm_cdc.wr_pntr_gc_reg[12]
+ (.C(wr_clk),
+ .CE(1'b1),
+ .D(\gic0.gc0.count_d2_reg[12] ),
+ .Q(wr_pntr_gc[12]),
+ .R(1'b0));
+ FDRE #(
+ .INIT(1'b0))
+ \gnxpm_cdc.wr_pntr_gc_reg[1]
+ (.C(wr_clk),
+ .CE(1'b1),
+ .D(bin2gray[1]),
+ .Q(wr_pntr_gc[1]),
+ .R(1'b0));
+ FDRE #(
+ .INIT(1'b0))
+ \gnxpm_cdc.wr_pntr_gc_reg[2]
+ (.C(wr_clk),
+ .CE(1'b1),
+ .D(bin2gray[2]),
+ .Q(wr_pntr_gc[2]),
+ .R(1'b0));
+ FDRE #(
+ .INIT(1'b0))
+ \gnxpm_cdc.wr_pntr_gc_reg[3]
+ (.C(wr_clk),
+ .CE(1'b1),
+ .D(bin2gray[3]),
+ .Q(wr_pntr_gc[3]),
+ .R(1'b0));
+ FDRE #(
+ .INIT(1'b0))
+ \gnxpm_cdc.wr_pntr_gc_reg[4]
+ (.C(wr_clk),
+ .CE(1'b1),
+ .D(bin2gray[4]),
+ .Q(wr_pntr_gc[4]),
+ .R(1'b0));
+ FDRE #(
+ .INIT(1'b0))
+ \gnxpm_cdc.wr_pntr_gc_reg[5]
+ (.C(wr_clk),
+ .CE(1'b1),
+ .D(bin2gray[5]),
+ .Q(wr_pntr_gc[5]),
+ .R(1'b0));
+ FDRE #(
+ .INIT(1'b0))
+ \gnxpm_cdc.wr_pntr_gc_reg[6]
+ (.C(wr_clk),
+ .CE(1'b1),
+ .D(bin2gray[6]),
+ .Q(wr_pntr_gc[6]),
+ .R(1'b0));
+ FDRE #(
+ .INIT(1'b0))
+ \gnxpm_cdc.wr_pntr_gc_reg[7]
+ (.C(wr_clk),
+ .CE(1'b1),
+ .D(bin2gray[7]),
+ .Q(wr_pntr_gc[7]),
+ .R(1'b0));
+ FDRE #(
+ .INIT(1'b0))
+ \gnxpm_cdc.wr_pntr_gc_reg[8]
+ (.C(wr_clk),
+ .CE(1'b1),
+ .D(bin2gray[8]),
+ .Q(wr_pntr_gc[8]),
+ .R(1'b0));
+ FDRE #(
+ .INIT(1'b0))
+ \gnxpm_cdc.wr_pntr_gc_reg[9]
+ (.C(wr_clk),
+ .CE(1'b1),
+ .D(bin2gray[9]),
+ .Q(wr_pntr_gc[9]),
+ .R(1'b0));
+endmodule
+
+module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_compare
+ (ram_full_i_reg,
+ \gnxpm_cdc.rd_pntr_bin_reg[12] ,
+ wr_en,
+ out,
+ comp2,
+ \gic0.gc0.count_d1_reg[11] ,
+ RD_PNTR_WR);
+ output ram_full_i_reg;
+ input \gnxpm_cdc.rd_pntr_bin_reg[12] ;
+ input wr_en;
+ input out;
+ input comp2;
+ input [11:0]\gic0.gc0.count_d1_reg[11] ;
+ input [11:0]RD_PNTR_WR;
+
+ wire [11:0]RD_PNTR_WR;
+ wire carrynet_0;
+ wire carrynet_1;
+ wire carrynet_2;
+ wire carrynet_3;
+ wire carrynet_4;
+ wire carrynet_5;
+ wire comp1;
+ wire comp2;
+ wire [11:0]\gic0.gc0.count_d1_reg[11] ;
+ wire \gnxpm_cdc.rd_pntr_bin_reg[12] ;
+ wire out;
+ wire ram_full_i_reg;
+ wire [5:0]v1_reg;
+ wire wr_en;
+ wire [3:0]\NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED ;
+ wire [3:3]\NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED ;
+ wire [3:3]\NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED ;
+ wire [3:0]\NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED ;
+ wire [3:3]\NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED ;
+
+ (* XILINX_LEGACY_PRIM = "(MUXCY,XORCY)" *)
+ (* box_type = "PRIMITIVE" *)
+ CARRY4 \gmux.gm[0].gm1.m1_CARRY4
+ (.CI(1'b0),
+ .CO({carrynet_3,carrynet_2,carrynet_1,carrynet_0}),
+ .CYINIT(1'b1),
+ .DI({1'b0,1'b0,1'b0,1'b0}),
+ .O(\NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED [3:0]),
+ .S(v1_reg[3:0]));
+ LUT4 #(
+ .INIT(16'h9009))
+ \gmux.gm[0].gm1.m1_i_1
+ (.I0(\gic0.gc0.count_d1_reg[11] [0]),
+ .I1(RD_PNTR_WR[0]),
+ .I2(\gic0.gc0.count_d1_reg[11] [1]),
+ .I3(RD_PNTR_WR[1]),
+ .O(v1_reg[0]));
+ LUT4 #(
+ .INIT(16'h9009))
+ \gmux.gm[1].gms.ms_i_1
+ (.I0(\gic0.gc0.count_d1_reg[11] [2]),
+ .I1(RD_PNTR_WR[2]),
+ .I2(\gic0.gc0.count_d1_reg[11] [3]),
+ .I3(RD_PNTR_WR[3]),
+ .O(v1_reg[1]));
+ LUT4 #(
+ .INIT(16'h9009))
+ \gmux.gm[2].gms.ms_i_1
+ (.I0(\gic0.gc0.count_d1_reg[11] [4]),
+ .I1(RD_PNTR_WR[4]),
+ .I2(\gic0.gc0.count_d1_reg[11] [5]),
+ .I3(RD_PNTR_WR[5]),
+ .O(v1_reg[2]));
+ LUT4 #(
+ .INIT(16'h9009))
+ \gmux.gm[3].gms.ms_i_1
+ (.I0(\gic0.gc0.count_d1_reg[11] [6]),
+ .I1(RD_PNTR_WR[6]),
+ .I2(\gic0.gc0.count_d1_reg[11] [7]),
+ .I3(RD_PNTR_WR[7]),
+ .O(v1_reg[3]));
+ (* XILINX_LEGACY_PRIM = "(MUXCY,XORCY)" *)
+ (* box_type = "PRIMITIVE" *)
+ CARRY4 \gmux.gm[4].gms.ms_CARRY4
+ (.CI(carrynet_3),
+ .CO({\NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED [3],comp1,carrynet_5,carrynet_4}),
+ .CYINIT(1'b0),
+ .DI({\NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED [3],1'b0,1'b0,1'b0}),
+ .O(\NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED [3:0]),
+ .S({\NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED [3],\gnxpm_cdc.rd_pntr_bin_reg[12] ,v1_reg[5:4]}));
+ LUT4 #(
+ .INIT(16'h9009))
+ \gmux.gm[4].gms.ms_i_1
+ (.I0(\gic0.gc0.count_d1_reg[11] [8]),
+ .I1(RD_PNTR_WR[8]),
+ .I2(\gic0.gc0.count_d1_reg[11] [9]),
+ .I3(RD_PNTR_WR[9]),
+ .O(v1_reg[4]));
+ LUT4 #(
+ .INIT(16'h9009))
+ \gmux.gm[5].gms.ms_i_1
+ (.I0(\gic0.gc0.count_d1_reg[11] [10]),
+ .I1(RD_PNTR_WR[10]),
+ .I2(\gic0.gc0.count_d1_reg[11] [11]),
+ .I3(RD_PNTR_WR[11]),
+ .O(v1_reg[5]));
+ LUT4 #(
+ .INIT(16'hAEAA))
+ ram_full_i_i_1
+ (.I0(comp1),
+ .I1(wr_en),
+ .I2(out),
+ .I3(comp2),
+ .O(ram_full_i_reg));
+endmodule
+
+(* ORIG_REF_NAME = "compare" *)
+module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_compare_0
+ (comp2,
+ \gnxpm_cdc.rd_pntr_bin_reg[12] ,
+ D,
+ RD_PNTR_WR);
+ output comp2;
+ input \gnxpm_cdc.rd_pntr_bin_reg[12] ;
+ input [11:0]D;
+ input [11:0]RD_PNTR_WR;
+
+ wire [11:0]D;
+ wire [11:0]RD_PNTR_WR;
+ wire carrynet_0;
+ wire carrynet_1;
+ wire carrynet_2;
+ wire carrynet_3;
+ wire carrynet_4;
+ wire carrynet_5;
+ wire comp2;
+ wire \gnxpm_cdc.rd_pntr_bin_reg[12] ;
+ wire [5:0]v1_reg;
+ wire [3:0]\NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED ;
+ wire [3:3]\NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED ;
+ wire [3:3]\NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED ;
+ wire [3:0]\NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED ;
+ wire [3:3]\NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED ;
+
+ (* XILINX_LEGACY_PRIM = "(MUXCY,XORCY)" *)
+ (* box_type = "PRIMITIVE" *)
+ CARRY4 \gmux.gm[0].gm1.m1_CARRY4
+ (.CI(1'b0),
+ .CO({carrynet_3,carrynet_2,carrynet_1,carrynet_0}),
+ .CYINIT(1'b1),
+ .DI({1'b0,1'b0,1'b0,1'b0}),
+ .O(\NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED [3:0]),
+ .S(v1_reg[3:0]));
+ LUT4 #(
+ .INIT(16'h9009))
+ \gmux.gm[0].gm1.m1_i_1
+ (.I0(D[0]),
+ .I1(RD_PNTR_WR[0]),
+ .I2(D[1]),
+ .I3(RD_PNTR_WR[1]),
+ .O(v1_reg[0]));
+ LUT4 #(
+ .INIT(16'h9009))
+ \gmux.gm[1].gms.ms_i_1
+ (.I0(D[2]),
+ .I1(RD_PNTR_WR[2]),
+ .I2(D[3]),
+ .I3(RD_PNTR_WR[3]),
+ .O(v1_reg[1]));
+ LUT4 #(
+ .INIT(16'h9009))
+ \gmux.gm[2].gms.ms_i_1
+ (.I0(D[4]),
+ .I1(RD_PNTR_WR[4]),
+ .I2(D[5]),
+ .I3(RD_PNTR_WR[5]),
+ .O(v1_reg[2]));
+ LUT4 #(
+ .INIT(16'h9009))
+ \gmux.gm[3].gms.ms_i_1
+ (.I0(D[6]),
+ .I1(RD_PNTR_WR[6]),
+ .I2(D[7]),
+ .I3(RD_PNTR_WR[7]),
+ .O(v1_reg[3]));
+ (* XILINX_LEGACY_PRIM = "(MUXCY,XORCY)" *)
+ (* box_type = "PRIMITIVE" *)
+ CARRY4 \gmux.gm[4].gms.ms_CARRY4
+ (.CI(carrynet_3),
+ .CO({\NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED [3],comp2,carrynet_5,carrynet_4}),
+ .CYINIT(1'b0),
+ .DI({\NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED [3],1'b0,1'b0,1'b0}),
+ .O(\NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED [3:0]),
+ .S({\NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED [3],\gnxpm_cdc.rd_pntr_bin_reg[12] ,v1_reg[5:4]}));
+ LUT4 #(
+ .INIT(16'h9009))
+ \gmux.gm[4].gms.ms_i_1
+ (.I0(D[8]),
+ .I1(RD_PNTR_WR[8]),
+ .I2(D[9]),
+ .I3(RD_PNTR_WR[9]),
+ .O(v1_reg[4]));
+ LUT4 #(
+ .INIT(16'h9009))
+ \gmux.gm[5].gms.ms_i_1
+ (.I0(D[10]),
+ .I1(RD_PNTR_WR[10]),
+ .I2(D[11]),
+ .I3(RD_PNTR_WR[11]),
+ .O(v1_reg[5]));
+endmodule
+
+(* ORIG_REF_NAME = "compare" *)
+module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_compare_1
+ (comp0,
+ \gc0.count_d1_reg[12] ,
+ WR_PNTR_RD,
+ Q);
+ output comp0;
+ input \gc0.count_d1_reg[12] ;
+ input [11:0]WR_PNTR_RD;
+ input [11:0]Q;
+
+ wire [11:0]Q;
+ wire [11:0]WR_PNTR_RD;
+ wire carrynet_0;
+ wire carrynet_1;
+ wire carrynet_2;
+ wire carrynet_3;
+ wire carrynet_4;
+ wire carrynet_5;
+ wire comp0;
+ wire \gc0.count_d1_reg[12] ;
+ wire [5:0]v1_reg;
+ wire [3:0]\NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED ;
+ wire [3:3]\NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED ;
+ wire [3:3]\NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED ;
+ wire [3:0]\NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED ;
+ wire [3:3]\NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED ;
+
+ (* XILINX_LEGACY_PRIM = "(MUXCY,XORCY)" *)
+ (* box_type = "PRIMITIVE" *)
+ CARRY4 \gmux.gm[0].gm1.m1_CARRY4
+ (.CI(1'b0),
+ .CO({carrynet_3,carrynet_2,carrynet_1,carrynet_0}),
+ .CYINIT(1'b1),
+ .DI({1'b0,1'b0,1'b0,1'b0}),
+ .O(\NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED [3:0]),
+ .S(v1_reg[3:0]));
+ LUT4 #(
+ .INIT(16'h9009))
+ \gmux.gm[0].gm1.m1_i_1
+ (.I0(WR_PNTR_RD[0]),
+ .I1(Q[0]),
+ .I2(WR_PNTR_RD[1]),
+ .I3(Q[1]),
+ .O(v1_reg[0]));
+ LUT4 #(
+ .INIT(16'h9009))
+ \gmux.gm[1].gms.ms_i_1
+ (.I0(WR_PNTR_RD[2]),
+ .I1(Q[2]),
+ .I2(WR_PNTR_RD[3]),
+ .I3(Q[3]),
+ .O(v1_reg[1]));
+ LUT4 #(
+ .INIT(16'h9009))
+ \gmux.gm[2].gms.ms_i_1
+ (.I0(WR_PNTR_RD[4]),
+ .I1(Q[4]),
+ .I2(WR_PNTR_RD[5]),
+ .I3(Q[5]),
+ .O(v1_reg[2]));
+ LUT4 #(
+ .INIT(16'h9009))
+ \gmux.gm[3].gms.ms_i_1
+ (.I0(WR_PNTR_RD[6]),
+ .I1(Q[6]),
+ .I2(WR_PNTR_RD[7]),
+ .I3(Q[7]),
+ .O(v1_reg[3]));
+ (* XILINX_LEGACY_PRIM = "(MUXCY,XORCY)" *)
+ (* box_type = "PRIMITIVE" *)
+ CARRY4 \gmux.gm[4].gms.ms_CARRY4
+ (.CI(carrynet_3),
+ .CO({\NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED [3],comp0,carrynet_5,carrynet_4}),
+ .CYINIT(1'b0),
+ .DI({\NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED [3],1'b0,1'b0,1'b0}),
+ .O(\NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED [3:0]),
+ .S({\NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED [3],\gc0.count_d1_reg[12] ,v1_reg[5:4]}));
+ LUT4 #(
+ .INIT(16'h9009))
+ \gmux.gm[4].gms.ms_i_1
+ (.I0(WR_PNTR_RD[8]),
+ .I1(Q[8]),
+ .I2(WR_PNTR_RD[9]),
+ .I3(Q[9]),
+ .O(v1_reg[4]));
+ LUT4 #(
+ .INIT(16'h9009))
+ \gmux.gm[5].gms.ms_i_1
+ (.I0(WR_PNTR_RD[10]),
+ .I1(Q[10]),
+ .I2(WR_PNTR_RD[11]),
+ .I3(Q[11]),
+ .O(v1_reg[5]));
+endmodule
+
+(* ORIG_REF_NAME = "compare" *)
+module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_compare_2
+ (comp1,
+ \gc0.count_reg[12] ,
+ WR_PNTR_RD,
+ D);
+ output comp1;
+ input \gc0.count_reg[12] ;
+ input [11:0]WR_PNTR_RD;
+ input [11:0]D;
+
+ wire [11:0]D;
+ wire [11:0]WR_PNTR_RD;
+ wire carrynet_0;
+ wire carrynet_1;
+ wire carrynet_2;
+ wire carrynet_3;
+ wire carrynet_4;
+ wire carrynet_5;
+ wire comp1;
+ wire \gc0.count_reg[12] ;
+ wire [5:0]v1_reg;
+ wire [3:0]\NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED ;
+ wire [3:3]\NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED ;
+ wire [3:3]\NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED ;
+ wire [3:0]\NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED ;
+ wire [3:3]\NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED ;
+
+ (* XILINX_LEGACY_PRIM = "(MUXCY,XORCY)" *)
+ (* box_type = "PRIMITIVE" *)
+ CARRY4 \gmux.gm[0].gm1.m1_CARRY4
+ (.CI(1'b0),
+ .CO({carrynet_3,carrynet_2,carrynet_1,carrynet_0}),
+ .CYINIT(1'b1),
+ .DI({1'b0,1'b0,1'b0,1'b0}),
+ .O(\NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED [3:0]),
+ .S(v1_reg[3:0]));
+ LUT4 #(
+ .INIT(16'h9009))
+ \gmux.gm[0].gm1.m1_i_1
+ (.I0(WR_PNTR_RD[0]),
+ .I1(D[0]),
+ .I2(WR_PNTR_RD[1]),
+ .I3(D[1]),
+ .O(v1_reg[0]));
+ LUT4 #(
+ .INIT(16'h9009))
+ \gmux.gm[1].gms.ms_i_1
+ (.I0(WR_PNTR_RD[2]),
+ .I1(D[2]),
+ .I2(WR_PNTR_RD[3]),
+ .I3(D[3]),
+ .O(v1_reg[1]));
+ LUT4 #(
+ .INIT(16'h9009))
+ \gmux.gm[2].gms.ms_i_1
+ (.I0(WR_PNTR_RD[4]),
+ .I1(D[4]),
+ .I2(WR_PNTR_RD[5]),
+ .I3(D[5]),
+ .O(v1_reg[2]));
+ LUT4 #(
+ .INIT(16'h9009))
+ \gmux.gm[3].gms.ms_i_1
+ (.I0(WR_PNTR_RD[6]),
+ .I1(D[6]),
+ .I2(WR_PNTR_RD[7]),
+ .I3(D[7]),
+ .O(v1_reg[3]));
+ (* XILINX_LEGACY_PRIM = "(MUXCY,XORCY)" *)
+ (* box_type = "PRIMITIVE" *)
+ CARRY4 \gmux.gm[4].gms.ms_CARRY4
+ (.CI(carrynet_3),
+ .CO({\NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED [3],comp1,carrynet_5,carrynet_4}),
+ .CYINIT(1'b0),
+ .DI({\NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED [3],1'b0,1'b0,1'b0}),
+ .O(\NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED [3:0]),
+ .S({\NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED [3],\gc0.count_reg[12] ,v1_reg[5:4]}));
+ LUT4 #(
+ .INIT(16'h9009))
+ \gmux.gm[4].gms.ms_i_1
+ (.I0(WR_PNTR_RD[8]),
+ .I1(D[8]),
+ .I2(WR_PNTR_RD[9]),
+ .I3(D[9]),
+ .O(v1_reg[4]));
+ LUT4 #(
+ .INIT(16'h9009))
+ \gmux.gm[5].gms.ms_i_1
+ (.I0(WR_PNTR_RD[10]),
+ .I1(D[10]),
+ .I2(WR_PNTR_RD[11]),
+ .I3(D[11]),
+ .O(v1_reg[5]));
+endmodule
+
+module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_ramfifo
+ (dout,
+ empty,
+ full,
+ wr_en,
+ rd_en,
+ wr_clk,
+ rd_clk,
+ din);
+ output [23:0]dout;
+ output empty;
+ output full;
+ input wr_en;
+ input rd_en;
+ input wr_clk;
+ input rd_clk;
+ input [23:0]din;
+
+ wire [11:0]bin2gray;
+ wire [23:0]din;
+ wire [23:0]dout;
+ wire empty;
+ wire full;
+ wire [1:1]\gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnbram.gnativebmg.native_blk_mem_gen/valid.cstr/ena_array ;
+ wire [1:1]\gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnbram.gnativebmg.native_blk_mem_gen/valid.cstr/enb_array ;
+ wire \gntv_or_sync_fifo.gcx.clkx_n_0 ;
+ wire \gntv_or_sync_fifo.gcx.clkx_n_13 ;
+ wire \gntv_or_sync_fifo.gl0.rd_n_1 ;
+ wire \gntv_or_sync_fifo.gl0.rd_n_15 ;
+ wire \gntv_or_sync_fifo.gl0.rd_n_16 ;
+ wire \gntv_or_sync_fifo.gl0.rd_n_17 ;
+ wire \gntv_or_sync_fifo.gl0.rd_n_18 ;
+ wire \gntv_or_sync_fifo.gl0.rd_n_19 ;
+ wire \gntv_or_sync_fifo.gl0.rd_n_20 ;
+ wire \gntv_or_sync_fifo.gl0.rd_n_21 ;
+ wire \gntv_or_sync_fifo.gl0.rd_n_22 ;
+ wire \gntv_or_sync_fifo.gl0.rd_n_23 ;
+ wire \gntv_or_sync_fifo.gl0.rd_n_24 ;
+ wire \gntv_or_sync_fifo.gl0.rd_n_25 ;
+ wire \gntv_or_sync_fifo.gl0.rd_n_26 ;
+ wire \gntv_or_sync_fifo.gl0.rd_n_27 ;
+ wire \gntv_or_sync_fifo.gl0.rd_n_29 ;
+ wire \gntv_or_sync_fifo.gl0.wr_n_1 ;
+ wire \gntv_or_sync_fifo.gl0.wr_n_15 ;
+ wire \gntv_or_sync_fifo.gl0.wr_n_31 ;
+ wire [12:0]p_0_out;
+ wire [12:0]p_12_out;
+ wire [12:12]p_13_out;
+ wire [12:0]p_22_out;
+ wire [11:0]p_23_out;
+ wire rd_clk;
+ wire rd_en;
+ wire sel_pipe;
+ wire wr_clk;
+ wire wr_en;
+ wire [12:12]wr_pntr_plus2;
+
+ decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_clk_x_pntrs \gntv_or_sync_fifo.gcx.clkx
+ (.D(wr_pntr_plus2),
+ .I6({p_0_out[12],\gntv_or_sync_fifo.gl0.rd_n_16 ,\gntv_or_sync_fifo.gl0.rd_n_17 ,\gntv_or_sync_fifo.gl0.rd_n_18 ,\gntv_or_sync_fifo.gl0.rd_n_19 ,\gntv_or_sync_fifo.gl0.rd_n_20 ,\gntv_or_sync_fifo.gl0.rd_n_21 ,\gntv_or_sync_fifo.gl0.rd_n_22 ,\gntv_or_sync_fifo.gl0.rd_n_23 ,\gntv_or_sync_fifo.gl0.rd_n_24 ,\gntv_or_sync_fifo.gl0.rd_n_25 ,\gntv_or_sync_fifo.gl0.rd_n_26 ,\gntv_or_sync_fifo.gl0.rd_n_27 }),
+ .Q(p_13_out),
+ .RD_PNTR_WR(p_23_out),
+ .WR_PNTR_RD(p_22_out),
+ .bin2gray(bin2gray),
+ .\gic0.gc0.count_d2_reg[12] (p_12_out[12]),
+ .ram_full_i_reg(\gntv_or_sync_fifo.gcx.clkx_n_0 ),
+ .ram_full_i_reg_0(\gntv_or_sync_fifo.gcx.clkx_n_13 ),
+ .rd_clk(rd_clk),
+ .wr_clk(wr_clk));
+ decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_rd_logic \gntv_or_sync_fifo.gl0.rd
+ (.\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram (\gntv_or_sync_fifo.gl0.rd_n_1 ),
+ .\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_0 (\gntv_or_sync_fifo.gl0.rd_n_29 ),
+ .I6({\gntv_or_sync_fifo.gl0.rd_n_16 ,\gntv_or_sync_fifo.gl0.rd_n_17 ,\gntv_or_sync_fifo.gl0.rd_n_18 ,\gntv_or_sync_fifo.gl0.rd_n_19 ,\gntv_or_sync_fifo.gl0.rd_n_20 ,\gntv_or_sync_fifo.gl0.rd_n_21 ,\gntv_or_sync_fifo.gl0.rd_n_22 ,\gntv_or_sync_fifo.gl0.rd_n_23 ,\gntv_or_sync_fifo.gl0.rd_n_24 ,\gntv_or_sync_fifo.gl0.rd_n_25 ,\gntv_or_sync_fifo.gl0.rd_n_26 ,\gntv_or_sync_fifo.gl0.rd_n_27 }),
+ .Q(p_0_out),
+ .WR_PNTR_RD(p_22_out),
+ .empty(empty),
+ .enb_array(\gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnbram.gnativebmg.native_blk_mem_gen/valid.cstr/enb_array ),
+ .\no_softecc_sel_reg.ce_pri.sel_pipe_reg[0] (\gntv_or_sync_fifo.gl0.rd_n_15 ),
+ .rd_clk(rd_clk),
+ .rd_en(rd_en),
+ .sel_pipe(sel_pipe));
+ decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_wr_logic \gntv_or_sync_fifo.gl0.wr
+ (.D(wr_pntr_plus2),
+ .\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram (\gntv_or_sync_fifo.gl0.wr_n_1 ),
+ .\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_0 (\gntv_or_sync_fifo.gl0.wr_n_31 ),
+ .Q(p_12_out),
+ .RD_PNTR_WR(p_23_out),
+ .WEA(\gntv_or_sync_fifo.gl0.wr_n_15 ),
+ .bin2gray(bin2gray),
+ .ena_array(\gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnbram.gnativebmg.native_blk_mem_gen/valid.cstr/ena_array ),
+ .full(full),
+ .\gic0.gc0.count_d2_reg[12] (p_13_out),
+ .\gnxpm_cdc.rd_pntr_bin_reg[12] (\gntv_or_sync_fifo.gcx.clkx_n_0 ),
+ .\gnxpm_cdc.rd_pntr_bin_reg[12]_0 (\gntv_or_sync_fifo.gcx.clkx_n_13 ),
+ .wr_clk(wr_clk),
+ .wr_en(wr_en));
+ decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_memory \gntv_or_sync_fifo.mem
+ (.Q(p_12_out),
+ .WEA(\gntv_or_sync_fifo.gl0.wr_n_15 ),
+ .din(din),
+ .dout(dout),
+ .ena_array(\gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnbram.gnativebmg.native_blk_mem_gen/valid.cstr/ena_array ),
+ .enb_array(\gbm.gbmg.gbmga.ngecc.bmg/inst_blk_mem_gen/gnbram.gnativebmg.native_blk_mem_gen/valid.cstr/enb_array ),
+ .\gc0.count_d1_reg[12] (p_0_out),
+ .\gc0.count_d1_reg[12]_0 (\gntv_or_sync_fifo.gl0.rd_n_15 ),
+ .ram_empty_fb_i_reg(\gntv_or_sync_fifo.gl0.rd_n_29 ),
+ .ram_empty_fb_i_reg_0(\gntv_or_sync_fifo.gl0.rd_n_1 ),
+ .ram_full_fb_i_reg(\gntv_or_sync_fifo.gl0.wr_n_31 ),
+ .ram_full_fb_i_reg_0(\gntv_or_sync_fifo.gl0.wr_n_1 ),
+ .rd_clk(rd_clk),
+ .sel_pipe(sel_pipe),
+ .wr_clk(wr_clk));
+endmodule
+
+module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_top
+ (dout,
+ empty,
+ full,
+ wr_en,
+ rd_en,
+ wr_clk,
+ rd_clk,
+ din);
+ output [23:0]dout;
+ output empty;
+ output full;
+ input wr_en;
+ input rd_en;
+ input wr_clk;
+ input rd_clk;
+ input [23:0]din;
+
+ wire [23:0]din;
+ wire [23:0]dout;
+ wire empty;
+ wire full;
+ wire rd_clk;
+ wire rd_en;
+ wire wr_clk;
+ wire wr_en;
+
+ decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_ramfifo \grf.rf
+ (.din(din),
+ .dout(dout),
+ .empty(empty),
+ .full(full),
+ .rd_clk(rd_clk),
+ .rd_en(rd_en),
+ .wr_clk(wr_clk),
+ .wr_en(wr_en));
+endmodule
+
+(* C_ADD_NGC_CONSTRAINT = "0" *) (* C_APPLICATION_TYPE_AXIS = "0" *) (* C_APPLICATION_TYPE_RACH = "0" *)
+(* C_APPLICATION_TYPE_RDCH = "0" *) (* C_APPLICATION_TYPE_WACH = "0" *) (* C_APPLICATION_TYPE_WDCH = "0" *)
+(* C_APPLICATION_TYPE_WRCH = "0" *) (* C_AXIS_TDATA_WIDTH = "8" *) (* C_AXIS_TDEST_WIDTH = "1" *)
+(* C_AXIS_TID_WIDTH = "1" *) (* C_AXIS_TKEEP_WIDTH = "1" *) (* C_AXIS_TSTRB_WIDTH = "1" *)
+(* C_AXIS_TUSER_WIDTH = "4" *) (* C_AXIS_TYPE = "0" *) (* C_AXI_ADDR_WIDTH = "32" *)
+(* C_AXI_ARUSER_WIDTH = "1" *) (* C_AXI_AWUSER_WIDTH = "1" *) (* C_AXI_BUSER_WIDTH = "1" *)
+(* C_AXI_DATA_WIDTH = "64" *) (* C_AXI_ID_WIDTH = "1" *) (* C_AXI_LEN_WIDTH = "8" *)
+(* C_AXI_LOCK_WIDTH = "1" *) (* C_AXI_RUSER_WIDTH = "1" *) (* C_AXI_TYPE = "1" *)
+(* C_AXI_WUSER_WIDTH = "1" *) (* C_COMMON_CLOCK = "0" *) (* C_COUNT_TYPE = "0" *)
+(* C_DATA_COUNT_WIDTH = "13" *) (* C_DEFAULT_VALUE = "BlankString" *) (* C_DIN_WIDTH = "24" *)
+(* C_DIN_WIDTH_AXIS = "1" *) (* C_DIN_WIDTH_RACH = "32" *) (* C_DIN_WIDTH_RDCH = "64" *)
+(* C_DIN_WIDTH_WACH = "1" *) (* C_DIN_WIDTH_WDCH = "64" *) (* C_DIN_WIDTH_WRCH = "2" *)
+(* C_DOUT_RST_VAL = "0" *) (* C_DOUT_WIDTH = "24" *) (* C_ENABLE_RLOCS = "0" *)
+(* C_ENABLE_RST_SYNC = "1" *) (* C_EN_SAFETY_CKT = "0" *) (* C_ERROR_INJECTION_TYPE = "0" *)
+(* C_ERROR_INJECTION_TYPE_AXIS = "0" *) (* C_ERROR_INJECTION_TYPE_RACH = "0" *) (* C_ERROR_INJECTION_TYPE_RDCH = "0" *)
+(* C_ERROR_INJECTION_TYPE_WACH = "0" *) (* C_ERROR_INJECTION_TYPE_WDCH = "0" *) (* C_ERROR_INJECTION_TYPE_WRCH = "0" *)
+(* C_FAMILY = "artix7" *) (* C_FULL_FLAGS_RST_VAL = "0" *) (* C_HAS_ALMOST_EMPTY = "0" *)
+(* C_HAS_ALMOST_FULL = "0" *) (* C_HAS_AXIS_TDATA = "1" *) (* C_HAS_AXIS_TDEST = "0" *)
+(* C_HAS_AXIS_TID = "0" *) (* C_HAS_AXIS_TKEEP = "0" *) (* C_HAS_AXIS_TLAST = "0" *)
+(* C_HAS_AXIS_TREADY = "1" *) (* C_HAS_AXIS_TSTRB = "0" *) (* C_HAS_AXIS_TUSER = "1" *)
+(* C_HAS_AXI_ARUSER = "0" *) (* C_HAS_AXI_AWUSER = "0" *) (* C_HAS_AXI_BUSER = "0" *)
+(* C_HAS_AXI_ID = "0" *) (* C_HAS_AXI_RD_CHANNEL = "1" *) (* C_HAS_AXI_RUSER = "0" *)
+(* C_HAS_AXI_WR_CHANNEL = "1" *) (* C_HAS_AXI_WUSER = "0" *) (* C_HAS_BACKUP = "0" *)
+(* C_HAS_DATA_COUNT = "0" *) (* C_HAS_DATA_COUNTS_AXIS = "0" *) (* C_HAS_DATA_COUNTS_RACH = "0" *)
+(* C_HAS_DATA_COUNTS_RDCH = "0" *) (* C_HAS_DATA_COUNTS_WACH = "0" *) (* C_HAS_DATA_COUNTS_WDCH = "0" *)
+(* C_HAS_DATA_COUNTS_WRCH = "0" *) (* C_HAS_INT_CLK = "0" *) (* C_HAS_MASTER_CE = "0" *)
+(* C_HAS_MEMINIT_FILE = "0" *) (* C_HAS_OVERFLOW = "0" *) (* C_HAS_PROG_FLAGS_AXIS = "0" *)
+(* C_HAS_PROG_FLAGS_RACH = "0" *) (* C_HAS_PROG_FLAGS_RDCH = "0" *) (* C_HAS_PROG_FLAGS_WACH = "0" *)
+(* C_HAS_PROG_FLAGS_WDCH = "0" *) (* C_HAS_PROG_FLAGS_WRCH = "0" *) (* C_HAS_RD_DATA_COUNT = "0" *)
+(* C_HAS_RD_RST = "0" *) (* C_HAS_RST = "0" *) (* C_HAS_SLAVE_CE = "0" *)
+(* C_HAS_SRST = "0" *) (* C_HAS_UNDERFLOW = "0" *) (* C_HAS_VALID = "0" *)
+(* C_HAS_WR_ACK = "0" *) (* C_HAS_WR_DATA_COUNT = "0" *) (* C_HAS_WR_RST = "0" *)
+(* C_IMPLEMENTATION_TYPE = "2" *) (* C_IMPLEMENTATION_TYPE_AXIS = "1" *) (* C_IMPLEMENTATION_TYPE_RACH = "1" *)
+(* C_IMPLEMENTATION_TYPE_RDCH = "1" *) (* C_IMPLEMENTATION_TYPE_WACH = "1" *) (* C_IMPLEMENTATION_TYPE_WDCH = "1" *)
+(* C_IMPLEMENTATION_TYPE_WRCH = "1" *) (* C_INIT_WR_PNTR_VAL = "0" *) (* C_INTERFACE_TYPE = "0" *)
+(* C_MEMORY_TYPE = "1" *) (* C_MIF_FILE_NAME = "BlankString" *) (* C_MSGON_VAL = "1" *)
+(* C_OPTIMIZATION_MODE = "0" *) (* C_OVERFLOW_LOW = "0" *) (* C_POWER_SAVING_MODE = "0" *)
+(* C_PRELOAD_LATENCY = "1" *) (* C_PRELOAD_REGS = "0" *) (* C_PRIM_FIFO_TYPE = "8kx4" *)
+(* C_PRIM_FIFO_TYPE_AXIS = "1kx18" *) (* C_PRIM_FIFO_TYPE_RACH = "512x36" *) (* C_PRIM_FIFO_TYPE_RDCH = "1kx36" *)
+(* C_PRIM_FIFO_TYPE_WACH = "512x36" *) (* C_PRIM_FIFO_TYPE_WDCH = "1kx36" *) (* C_PRIM_FIFO_TYPE_WRCH = "512x36" *)
+(* C_PROG_EMPTY_THRESH_ASSERT_VAL = "2" *) (* C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS = "1022" *) (* C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH = "1022" *)
+(* C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH = "1022" *) (* C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH = "1022" *) (* C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH = "1022" *)
+(* C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH = "1022" *) (* C_PROG_EMPTY_THRESH_NEGATE_VAL = "3" *) (* C_PROG_EMPTY_TYPE = "0" *)
+(* C_PROG_EMPTY_TYPE_AXIS = "0" *) (* C_PROG_EMPTY_TYPE_RACH = "0" *) (* C_PROG_EMPTY_TYPE_RDCH = "0" *)
+(* C_PROG_EMPTY_TYPE_WACH = "0" *) (* C_PROG_EMPTY_TYPE_WDCH = "0" *) (* C_PROG_EMPTY_TYPE_WRCH = "0" *)
+(* C_PROG_FULL_THRESH_ASSERT_VAL = "8189" *) (* C_PROG_FULL_THRESH_ASSERT_VAL_AXIS = "1023" *) (* C_PROG_FULL_THRESH_ASSERT_VAL_RACH = "1023" *)
+(* C_PROG_FULL_THRESH_ASSERT_VAL_RDCH = "1023" *) (* C_PROG_FULL_THRESH_ASSERT_VAL_WACH = "1023" *) (* C_PROG_FULL_THRESH_ASSERT_VAL_WDCH = "1023" *)
+(* C_PROG_FULL_THRESH_ASSERT_VAL_WRCH = "1023" *) (* C_PROG_FULL_THRESH_NEGATE_VAL = "8188" *) (* C_PROG_FULL_TYPE = "0" *)
+(* C_PROG_FULL_TYPE_AXIS = "0" *) (* C_PROG_FULL_TYPE_RACH = "0" *) (* C_PROG_FULL_TYPE_RDCH = "0" *)
+(* C_PROG_FULL_TYPE_WACH = "0" *) (* C_PROG_FULL_TYPE_WDCH = "0" *) (* C_PROG_FULL_TYPE_WRCH = "0" *)
+(* C_RACH_TYPE = "0" *) (* C_RDCH_TYPE = "0" *) (* C_RD_DATA_COUNT_WIDTH = "13" *)
+(* C_RD_DEPTH = "8192" *) (* C_RD_FREQ = "1" *) (* C_RD_PNTR_WIDTH = "13" *)
+(* C_REG_SLICE_MODE_AXIS = "0" *) (* C_REG_SLICE_MODE_RACH = "0" *) (* C_REG_SLICE_MODE_RDCH = "0" *)
+(* C_REG_SLICE_MODE_WACH = "0" *) (* C_REG_SLICE_MODE_WDCH = "0" *) (* C_REG_SLICE_MODE_WRCH = "0" *)
+(* C_SELECT_XPM = "0" *) (* C_SYNCHRONIZER_STAGE = "2" *) (* C_UNDERFLOW_LOW = "0" *)
+(* C_USE_COMMON_OVERFLOW = "0" *) (* C_USE_COMMON_UNDERFLOW = "0" *) (* C_USE_DEFAULT_SETTINGS = "0" *)
+(* C_USE_DOUT_RST = "0" *) (* C_USE_ECC = "0" *) (* C_USE_ECC_AXIS = "0" *)
+(* C_USE_ECC_RACH = "0" *) (* C_USE_ECC_RDCH = "0" *) (* C_USE_ECC_WACH = "0" *)
+(* C_USE_ECC_WDCH = "0" *) (* C_USE_ECC_WRCH = "0" *) (* C_USE_EMBEDDED_REG = "0" *)
+(* C_USE_FIFO16_FLAGS = "0" *) (* C_USE_FWFT_DATA_COUNT = "0" *) (* C_USE_PIPELINE_REG = "0" *)
+(* C_VALID_LOW = "0" *) (* C_WACH_TYPE = "0" *) (* C_WDCH_TYPE = "0" *)
+(* C_WRCH_TYPE = "0" *) (* C_WR_ACK_LOW = "0" *) (* C_WR_DATA_COUNT_WIDTH = "13" *)
+(* C_WR_DEPTH = "8192" *) (* C_WR_DEPTH_AXIS = "1024" *) (* C_WR_DEPTH_RACH = "16" *)
+(* C_WR_DEPTH_RDCH = "1024" *) (* C_WR_DEPTH_WACH = "16" *) (* C_WR_DEPTH_WDCH = "1024" *)
+(* C_WR_DEPTH_WRCH = "16" *) (* C_WR_FREQ = "1" *) (* C_WR_PNTR_WIDTH = "13" *)
+(* C_WR_PNTR_WIDTH_AXIS = "10" *) (* C_WR_PNTR_WIDTH_RACH = "4" *) (* C_WR_PNTR_WIDTH_RDCH = "10" *)
+(* C_WR_PNTR_WIDTH_WACH = "4" *) (* C_WR_PNTR_WIDTH_WDCH = "10" *) (* C_WR_PNTR_WIDTH_WRCH = "4" *)
+(* C_WR_RESPONSE_LATENCY = "1" *)
+module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2
+ (backup,
+ backup_marker,
+ clk,
+ rst,
+ srst,
+ wr_clk,
+ wr_rst,
+ rd_clk,
+ rd_rst,
+ din,
+ wr_en,
+ rd_en,
+ prog_empty_thresh,
+ prog_empty_thresh_assert,
+ prog_empty_thresh_negate,
+ prog_full_thresh,
+ prog_full_thresh_assert,
+ prog_full_thresh_negate,
+ int_clk,
+ injectdbiterr,
+ injectsbiterr,
+ sleep,
+ dout,
+ full,
+ almost_full,
+ wr_ack,
+ overflow,
+ empty,
+ almost_empty,
+ valid,
+ underflow,
+ data_count,
+ rd_data_count,
+ wr_data_count,
+ prog_full,
+ prog_empty,
+ sbiterr,
+ dbiterr,
+ wr_rst_busy,
+ rd_rst_busy,
+ m_aclk,
+ s_aclk,
+ s_aresetn,
+ m_aclk_en,
+ s_aclk_en,
+ s_axi_awid,
+ s_axi_awaddr,
+ s_axi_awlen,
+ s_axi_awsize,
+ s_axi_awburst,
+ s_axi_awlock,
+ s_axi_awcache,
+ s_axi_awprot,
+ s_axi_awqos,
+ s_axi_awregion,
+ s_axi_awuser,
+ s_axi_awvalid,
+ s_axi_awready,
+ s_axi_wid,
+ s_axi_wdata,
+ s_axi_wstrb,
+ s_axi_wlast,
+ s_axi_wuser,
+ s_axi_wvalid,
+ s_axi_wready,
+ s_axi_bid,
+ s_axi_bresp,
+ s_axi_buser,
+ s_axi_bvalid,
+ s_axi_bready,
+ m_axi_awid,
+ m_axi_awaddr,
+ m_axi_awlen,
+ m_axi_awsize,
+ m_axi_awburst,
+ m_axi_awlock,
+ m_axi_awcache,
+ m_axi_awprot,
+ m_axi_awqos,
+ m_axi_awregion,
+ m_axi_awuser,
+ m_axi_awvalid,
+ m_axi_awready,
+ m_axi_wid,
+ m_axi_wdata,
+ m_axi_wstrb,
+ m_axi_wlast,
+ m_axi_wuser,
+ m_axi_wvalid,
+ m_axi_wready,
+ m_axi_bid,
+ m_axi_bresp,
+ m_axi_buser,
+ m_axi_bvalid,
+ m_axi_bready,
+ s_axi_arid,
+ s_axi_araddr,
+ s_axi_arlen,
+ s_axi_arsize,
+ s_axi_arburst,
+ s_axi_arlock,
+ s_axi_arcache,
+ s_axi_arprot,
+ s_axi_arqos,
+ s_axi_arregion,
+ s_axi_aruser,
+ s_axi_arvalid,
+ s_axi_arready,
+ s_axi_rid,
+ s_axi_rdata,
+ s_axi_rresp,
+ s_axi_rlast,
+ s_axi_ruser,
+ s_axi_rvalid,
+ s_axi_rready,
+ m_axi_arid,
+ m_axi_araddr,
+ m_axi_arlen,
+ m_axi_arsize,
+ m_axi_arburst,
+ m_axi_arlock,
+ m_axi_arcache,
+ m_axi_arprot,
+ m_axi_arqos,
+ m_axi_arregion,
+ m_axi_aruser,
+ m_axi_arvalid,
+ m_axi_arready,
+ m_axi_rid,
+ m_axi_rdata,
+ m_axi_rresp,
+ m_axi_rlast,
+ m_axi_ruser,
+ m_axi_rvalid,
+ m_axi_rready,
+ s_axis_tvalid,
+ s_axis_tready,
+ s_axis_tdata,
+ s_axis_tstrb,
+ s_axis_tkeep,
+ s_axis_tlast,
+ s_axis_tid,
+ s_axis_tdest,
+ s_axis_tuser,
+ m_axis_tvalid,
+ m_axis_tready,
+ m_axis_tdata,
+ m_axis_tstrb,
+ m_axis_tkeep,
+ m_axis_tlast,
+ m_axis_tid,
+ m_axis_tdest,
+ m_axis_tuser,
+ axi_aw_injectsbiterr,
+ axi_aw_injectdbiterr,
+ axi_aw_prog_full_thresh,
+ axi_aw_prog_empty_thresh,
+ axi_aw_data_count,
+ axi_aw_wr_data_count,
+ axi_aw_rd_data_count,
+ axi_aw_sbiterr,
+ axi_aw_dbiterr,
+ axi_aw_overflow,
+ axi_aw_underflow,
+ axi_aw_prog_full,
+ axi_aw_prog_empty,
+ axi_w_injectsbiterr,
+ axi_w_injectdbiterr,
+ axi_w_prog_full_thresh,
+ axi_w_prog_empty_thresh,
+ axi_w_data_count,
+ axi_w_wr_data_count,
+ axi_w_rd_data_count,
+ axi_w_sbiterr,
+ axi_w_dbiterr,
+ axi_w_overflow,
+ axi_w_underflow,
+ axi_w_prog_full,
+ axi_w_prog_empty,
+ axi_b_injectsbiterr,
+ axi_b_injectdbiterr,
+ axi_b_prog_full_thresh,
+ axi_b_prog_empty_thresh,
+ axi_b_data_count,
+ axi_b_wr_data_count,
+ axi_b_rd_data_count,
+ axi_b_sbiterr,
+ axi_b_dbiterr,
+ axi_b_overflow,
+ axi_b_underflow,
+ axi_b_prog_full,
+ axi_b_prog_empty,
+ axi_ar_injectsbiterr,
+ axi_ar_injectdbiterr,
+ axi_ar_prog_full_thresh,
+ axi_ar_prog_empty_thresh,
+ axi_ar_data_count,
+ axi_ar_wr_data_count,
+ axi_ar_rd_data_count,
+ axi_ar_sbiterr,
+ axi_ar_dbiterr,
+ axi_ar_overflow,
+ axi_ar_underflow,
+ axi_ar_prog_full,
+ axi_ar_prog_empty,
+ axi_r_injectsbiterr,
+ axi_r_injectdbiterr,
+ axi_r_prog_full_thresh,
+ axi_r_prog_empty_thresh,
+ axi_r_data_count,
+ axi_r_wr_data_count,
+ axi_r_rd_data_count,
+ axi_r_sbiterr,
+ axi_r_dbiterr,
+ axi_r_overflow,
+ axi_r_underflow,
+ axi_r_prog_full,
+ axi_r_prog_empty,
+ axis_injectsbiterr,
+ axis_injectdbiterr,
+ axis_prog_full_thresh,
+ axis_prog_empty_thresh,
+ axis_data_count,
+ axis_wr_data_count,
+ axis_rd_data_count,
+ axis_sbiterr,
+ axis_dbiterr,
+ axis_overflow,
+ axis_underflow,
+ axis_prog_full,
+ axis_prog_empty);
+ input backup;
+ input backup_marker;
+ input clk;
+ input rst;
+ input srst;
+ input wr_clk;
+ input wr_rst;
+ input rd_clk;
+ input rd_rst;
+ input [23:0]din;
+ input wr_en;
+ input rd_en;
+ input [12:0]prog_empty_thresh;
+ input [12:0]prog_empty_thresh_assert;
+ input [12:0]prog_empty_thresh_negate;
+ input [12:0]prog_full_thresh;
+ input [12:0]prog_full_thresh_assert;
+ input [12:0]prog_full_thresh_negate;
+ input int_clk;
+ input injectdbiterr;
+ input injectsbiterr;
+ input sleep;
+ output [23:0]dout;
+ output full;
+ output almost_full;
+ output wr_ack;
+ output overflow;
+ output empty;
+ output almost_empty;
+ output valid;
+ output underflow;
+ output [12:0]data_count;
+ output [12:0]rd_data_count;
+ output [12:0]wr_data_count;
+ output prog_full;
+ output prog_empty;
+ output sbiterr;
+ output dbiterr;
+ output wr_rst_busy;
+ output rd_rst_busy;
+ input m_aclk;
+ input s_aclk;
+ input s_aresetn;
+ input m_aclk_en;
+ input s_aclk_en;
+ input [0:0]s_axi_awid;
+ input [31:0]s_axi_awaddr;
+ input [7:0]s_axi_awlen;
+ input [2:0]s_axi_awsize;
+ input [1:0]s_axi_awburst;
+ input [0:0]s_axi_awlock;
+ input [3:0]s_axi_awcache;
+ input [2:0]s_axi_awprot;
+ input [3:0]s_axi_awqos;
+ input [3:0]s_axi_awregion;
+ input [0:0]s_axi_awuser;
+ input s_axi_awvalid;
+ output s_axi_awready;
+ input [0:0]s_axi_wid;
+ input [63:0]s_axi_wdata;
+ input [7:0]s_axi_wstrb;
+ input s_axi_wlast;
+ input [0:0]s_axi_wuser;
+ input s_axi_wvalid;
+ output s_axi_wready;
+ output [0:0]s_axi_bid;
+ output [1:0]s_axi_bresp;
+ output [0:0]s_axi_buser;
+ output s_axi_bvalid;
+ input s_axi_bready;
+ output [0:0]m_axi_awid;
+ output [31:0]m_axi_awaddr;
+ output [7:0]m_axi_awlen;
+ output [2:0]m_axi_awsize;
+ output [1:0]m_axi_awburst;
+ output [0:0]m_axi_awlock;
+ output [3:0]m_axi_awcache;
+ output [2:0]m_axi_awprot;
+ output [3:0]m_axi_awqos;
+ output [3:0]m_axi_awregion;
+ output [0:0]m_axi_awuser;
+ output m_axi_awvalid;
+ input m_axi_awready;
+ output [0:0]m_axi_wid;
+ output [63:0]m_axi_wdata;
+ output [7:0]m_axi_wstrb;
+ output m_axi_wlast;
+ output [0:0]m_axi_wuser;
+ output m_axi_wvalid;
+ input m_axi_wready;
+ input [0:0]m_axi_bid;
+ input [1:0]m_axi_bresp;
+ input [0:0]m_axi_buser;
+ input m_axi_bvalid;
+ output m_axi_bready;
+ input [0:0]s_axi_arid;
+ input [31:0]s_axi_araddr;
+ input [7:0]s_axi_arlen;
+ input [2:0]s_axi_arsize;
+ input [1:0]s_axi_arburst;
+ input [0:0]s_axi_arlock;
+ input [3:0]s_axi_arcache;
+ input [2:0]s_axi_arprot;
+ input [3:0]s_axi_arqos;
+ input [3:0]s_axi_arregion;
+ input [0:0]s_axi_aruser;
+ input s_axi_arvalid;
+ output s_axi_arready;
+ output [0:0]s_axi_rid;
+ output [63:0]s_axi_rdata;
+ output [1:0]s_axi_rresp;
+ output s_axi_rlast;
+ output [0:0]s_axi_ruser;
+ output s_axi_rvalid;
+ input s_axi_rready;
+ output [0:0]m_axi_arid;
+ output [31:0]m_axi_araddr;
+ output [7:0]m_axi_arlen;
+ output [2:0]m_axi_arsize;
+ output [1:0]m_axi_arburst;
+ output [0:0]m_axi_arlock;
+ output [3:0]m_axi_arcache;
+ output [2:0]m_axi_arprot;
+ output [3:0]m_axi_arqos;
+ output [3:0]m_axi_arregion;
+ output [0:0]m_axi_aruser;
+ output m_axi_arvalid;
+ input m_axi_arready;
+ input [0:0]m_axi_rid;
+ input [63:0]m_axi_rdata;
+ input [1:0]m_axi_rresp;
+ input m_axi_rlast;
+ input [0:0]m_axi_ruser;
+ input m_axi_rvalid;
+ output m_axi_rready;
+ input s_axis_tvalid;
+ output s_axis_tready;
+ input [7:0]s_axis_tdata;
+ input [0:0]s_axis_tstrb;
+ input [0:0]s_axis_tkeep;
+ input s_axis_tlast;
+ input [0:0]s_axis_tid;
+ input [0:0]s_axis_tdest;
+ input [3:0]s_axis_tuser;
+ output m_axis_tvalid;
+ input m_axis_tready;
+ output [7:0]m_axis_tdata;
+ output [0:0]m_axis_tstrb;
+ output [0:0]m_axis_tkeep;
+ output m_axis_tlast;
+ output [0:0]m_axis_tid;
+ output [0:0]m_axis_tdest;
+ output [3:0]m_axis_tuser;
+ input axi_aw_injectsbiterr;
+ input axi_aw_injectdbiterr;
+ input [3:0]axi_aw_prog_full_thresh;
+ input [3:0]axi_aw_prog_empty_thresh;
+ output [4:0]axi_aw_data_count;
+ output [4:0]axi_aw_wr_data_count;
+ output [4:0]axi_aw_rd_data_count;
+ output axi_aw_sbiterr;
+ output axi_aw_dbiterr;
+ output axi_aw_overflow;
+ output axi_aw_underflow;
+ output axi_aw_prog_full;
+ output axi_aw_prog_empty;
+ input axi_w_injectsbiterr;
+ input axi_w_injectdbiterr;
+ input [9:0]axi_w_prog_full_thresh;
+ input [9:0]axi_w_prog_empty_thresh;
+ output [10:0]axi_w_data_count;
+ output [10:0]axi_w_wr_data_count;
+ output [10:0]axi_w_rd_data_count;
+ output axi_w_sbiterr;
+ output axi_w_dbiterr;
+ output axi_w_overflow;
+ output axi_w_underflow;
+ output axi_w_prog_full;
+ output axi_w_prog_empty;
+ input axi_b_injectsbiterr;
+ input axi_b_injectdbiterr;
+ input [3:0]axi_b_prog_full_thresh;
+ input [3:0]axi_b_prog_empty_thresh;
+ output [4:0]axi_b_data_count;
+ output [4:0]axi_b_wr_data_count;
+ output [4:0]axi_b_rd_data_count;
+ output axi_b_sbiterr;
+ output axi_b_dbiterr;
+ output axi_b_overflow;
+ output axi_b_underflow;
+ output axi_b_prog_full;
+ output axi_b_prog_empty;
+ input axi_ar_injectsbiterr;
+ input axi_ar_injectdbiterr;
+ input [3:0]axi_ar_prog_full_thresh;
+ input [3:0]axi_ar_prog_empty_thresh;
+ output [4:0]axi_ar_data_count;
+ output [4:0]axi_ar_wr_data_count;
+ output [4:0]axi_ar_rd_data_count;
+ output axi_ar_sbiterr;
+ output axi_ar_dbiterr;
+ output axi_ar_overflow;
+ output axi_ar_underflow;
+ output axi_ar_prog_full;
+ output axi_ar_prog_empty;
+ input axi_r_injectsbiterr;
+ input axi_r_injectdbiterr;
+ input [9:0]axi_r_prog_full_thresh;
+ input [9:0]axi_r_prog_empty_thresh;
+ output [10:0]axi_r_data_count;
+ output [10:0]axi_r_wr_data_count;
+ output [10:0]axi_r_rd_data_count;
+ output axi_r_sbiterr;
+ output axi_r_dbiterr;
+ output axi_r_overflow;
+ output axi_r_underflow;
+ output axi_r_prog_full;
+ output axi_r_prog_empty;
+ input axis_injectsbiterr;
+ input axis_injectdbiterr;
+ input [9:0]axis_prog_full_thresh;
+ input [9:0]axis_prog_empty_thresh;
+ output [10:0]axis_data_count;
+ output [10:0]axis_wr_data_count;
+ output [10:0]axis_rd_data_count;
+ output axis_sbiterr;
+ output axis_dbiterr;
+ output axis_overflow;
+ output axis_underflow;
+ output axis_prog_full;
+ output axis_prog_empty;
+
+ wire \ ;
+ wire \ ;
+ wire [23:0]din;
+ wire [23:0]dout;
+ wire empty;
+ wire full;
+ wire rd_clk;
+ wire rd_en;
+ wire wr_clk;
+ wire wr_en;
+
+ assign almost_empty = \ ;
+ assign almost_full = \ ;
+ assign axi_ar_data_count[4] = \ ;
+ assign axi_ar_data_count[3] = \ ;
+ assign axi_ar_data_count[2] = \ ;
+ assign axi_ar_data_count[1] = \ ;
+ assign axi_ar_data_count[0] = \ ;
+ assign axi_ar_dbiterr = \ ;
+ assign axi_ar_overflow = \ ;
+ assign axi_ar_prog_empty = \ ;
+ assign axi_ar_prog_full = \ ;
+ assign axi_ar_rd_data_count[4] = \ ;
+ assign axi_ar_rd_data_count[3] = \ ;
+ assign axi_ar_rd_data_count[2] = \ ;
+ assign axi_ar_rd_data_count[1] = \ ;
+ assign axi_ar_rd_data_count[0] = \ ;
+ assign axi_ar_sbiterr = \ ;
+ assign axi_ar_underflow = \ ;
+ assign axi_ar_wr_data_count[4] = \ ;
+ assign axi_ar_wr_data_count[3] = \ ;
+ assign axi_ar_wr_data_count[2] = \ ;
+ assign axi_ar_wr_data_count[1] = \ ;
+ assign axi_ar_wr_data_count[0] = \ ;
+ assign axi_aw_data_count[4] = \ ;
+ assign axi_aw_data_count[3] = \ ;
+ assign axi_aw_data_count[2] = \ ;
+ assign axi_aw_data_count[1] = \ ;
+ assign axi_aw_data_count[0] = \ ;
+ assign axi_aw_dbiterr = \ ;
+ assign axi_aw_overflow = \ ;
+ assign axi_aw_prog_empty = \ ;
+ assign axi_aw_prog_full = \ ;
+ assign axi_aw_rd_data_count[4] = \ ;
+ assign axi_aw_rd_data_count[3] = \ ;
+ assign axi_aw_rd_data_count[2] = \ ;
+ assign axi_aw_rd_data_count[1] = \ ;
+ assign axi_aw_rd_data_count[0] = \ ;
+ assign axi_aw_sbiterr = \ ;
+ assign axi_aw_underflow = \ ;
+ assign axi_aw_wr_data_count[4] = \ ;
+ assign axi_aw_wr_data_count[3] = \ ;
+ assign axi_aw_wr_data_count[2] = \ ;
+ assign axi_aw_wr_data_count[1] = \ ;
+ assign axi_aw_wr_data_count[0] = \ ;
+ assign axi_b_data_count[4] = \ ;
+ assign axi_b_data_count[3] = \ ;
+ assign axi_b_data_count[2] = \ ;
+ assign axi_b_data_count[1] = \ ;
+ assign axi_b_data_count[0] = \ ;
+ assign axi_b_dbiterr = \ ;
+ assign axi_b_overflow = \ ;
+ assign axi_b_prog_empty = \ ;
+ assign axi_b_prog_full = \ ;
+ assign axi_b_rd_data_count[4] = \ ;
+ assign axi_b_rd_data_count[3] = \ ;
+ assign axi_b_rd_data_count[2] = \ ;
+ assign axi_b_rd_data_count[1] = \ ;
+ assign axi_b_rd_data_count[0] = \ ;
+ assign axi_b_sbiterr = \ ;
+ assign axi_b_underflow = \ ;
+ assign axi_b_wr_data_count[4] = \ ;
+ assign axi_b_wr_data_count[3] = \ ;
+ assign axi_b_wr_data_count[2] = \ ;
+ assign axi_b_wr_data_count[1] = \ ;
+ assign axi_b_wr_data_count[0] = \ ;
+ assign axi_r_data_count[10] = \ ;
+ assign axi_r_data_count[9] = \ ;
+ assign axi_r_data_count[8] = \ ;
+ assign axi_r_data_count[7] = \ ;
+ assign axi_r_data_count[6] = \ ;
+ assign axi_r_data_count[5] = \ ;
+ assign axi_r_data_count[4] = \ ;
+ assign axi_r_data_count[3] = \ ;
+ assign axi_r_data_count[2] = \ ;
+ assign axi_r_data_count[1] = \ ;
+ assign axi_r_data_count[0] = \ ;
+ assign axi_r_dbiterr = \ ;
+ assign axi_r_overflow = \ ;
+ assign axi_r_prog_empty = \ ;
+ assign axi_r_prog_full = \ ;
+ assign axi_r_rd_data_count[10] = \ ;
+ assign axi_r_rd_data_count[9] = \ ;
+ assign axi_r_rd_data_count[8] = \ ;
+ assign axi_r_rd_data_count[7] = \ ;
+ assign axi_r_rd_data_count[6] = \ ;
+ assign axi_r_rd_data_count[5] = \ ;
+ assign axi_r_rd_data_count[4] = \ ;
+ assign axi_r_rd_data_count[3] = \ ;
+ assign axi_r_rd_data_count[2] = \ ;
+ assign axi_r_rd_data_count[1] = \ ;
+ assign axi_r_rd_data_count[0] = \ ;
+ assign axi_r_sbiterr = \ ;
+ assign axi_r_underflow = \ ;
+ assign axi_r_wr_data_count[10] = \ ;
+ assign axi_r_wr_data_count[9] = \ ;
+ assign axi_r_wr_data_count[8] = \ ;
+ assign axi_r_wr_data_count[7] = \ ;
+ assign axi_r_wr_data_count[6] = \ ;
+ assign axi_r_wr_data_count[5] = \ ;
+ assign axi_r_wr_data_count[4] = \ ;
+ assign axi_r_wr_data_count[3] = \ ;
+ assign axi_r_wr_data_count[2] = \ ;
+ assign axi_r_wr_data_count[1] = \ ;
+ assign axi_r_wr_data_count[0] = \ ;
+ assign axi_w_data_count[10] = \ ;
+ assign axi_w_data_count[9] = \ ;
+ assign axi_w_data_count[8] = \ ;
+ assign axi_w_data_count[7] = \ ;
+ assign axi_w_data_count[6] = \ ;
+ assign axi_w_data_count[5] = \ ;
+ assign axi_w_data_count[4] = \ ;
+ assign axi_w_data_count[3] = \ ;
+ assign axi_w_data_count[2] = \ ;
+ assign axi_w_data_count[1] = \ ;
+ assign axi_w_data_count[0] = \ ;
+ assign axi_w_dbiterr = \ ;
+ assign axi_w_overflow = \ ;
+ assign axi_w_prog_empty = \ ;
+ assign axi_w_prog_full = \ ;
+ assign axi_w_rd_data_count[10] = \ ;
+ assign axi_w_rd_data_count[9] = \ ;
+ assign axi_w_rd_data_count[8] = \ ;
+ assign axi_w_rd_data_count[7] = \ ;
+ assign axi_w_rd_data_count[6] = \ ;
+ assign axi_w_rd_data_count[5] = \ ;
+ assign axi_w_rd_data_count[4] = \ ;
+ assign axi_w_rd_data_count[3] = \ ;
+ assign axi_w_rd_data_count[2] = \ ;
+ assign axi_w_rd_data_count[1] = \ ;
+ assign axi_w_rd_data_count[0] = \ ;
+ assign axi_w_sbiterr = \ ;
+ assign axi_w_underflow = \ ;
+ assign axi_w_wr_data_count[10] = \ ;
+ assign axi_w_wr_data_count[9] = \ ;
+ assign axi_w_wr_data_count[8] = \ ;
+ assign axi_w_wr_data_count[7] = \ ;
+ assign axi_w_wr_data_count[6] = \ ;
+ assign axi_w_wr_data_count[5] = \ ;
+ assign axi_w_wr_data_count[4] = \ ;
+ assign axi_w_wr_data_count[3] = \ ;
+ assign axi_w_wr_data_count[2] = \ ;
+ assign axi_w_wr_data_count[1] = \ ;
+ assign axi_w_wr_data_count[0] = \ ;
+ assign axis_data_count[10] = \ ;
+ assign axis_data_count[9] = \ ;
+ assign axis_data_count[8] = \ ;
+ assign axis_data_count[7] = \ ;
+ assign axis_data_count[6] = \ ;
+ assign axis_data_count[5] = \ ;
+ assign axis_data_count[4] = \ ;
+ assign axis_data_count[3] = \ ;
+ assign axis_data_count[2] = \ ;
+ assign axis_data_count[1] = \ ;
+ assign axis_data_count[0] = \ ;
+ assign axis_dbiterr = \ ;
+ assign axis_overflow = \ ;
+ assign axis_prog_empty = \ ;
+ assign axis_prog_full = \ ;
+ assign axis_rd_data_count[10] = \ ;
+ assign axis_rd_data_count[9] = \ ;
+ assign axis_rd_data_count[8] = \ ;
+ assign axis_rd_data_count[7] = \ ;
+ assign axis_rd_data_count[6] = \ ;
+ assign axis_rd_data_count[5] = \ ;
+ assign axis_rd_data_count[4] = \ ;
+ assign axis_rd_data_count[3] = \ ;
+ assign axis_rd_data_count[2] = \ ;
+ assign axis_rd_data_count[1] = \ ;
+ assign axis_rd_data_count[0] = \ ;
+ assign axis_sbiterr = \ ;
+ assign axis_underflow = \ ;
+ assign axis_wr_data_count[10] = \ ;
+ assign axis_wr_data_count[9] = \ ;
+ assign axis_wr_data_count[8] = \ ;
+ assign axis_wr_data_count[7] = \ ;
+ assign axis_wr_data_count[6] = \ ;
+ assign axis_wr_data_count[5] = \ ;
+ assign axis_wr_data_count[4] = \ ;
+ assign axis_wr_data_count[3] = \ ;
+ assign axis_wr_data_count[2] = \ ;
+ assign axis_wr_data_count[1] = \ ;
+ assign axis_wr_data_count[0] = \ ;
+ assign data_count[12] = \ ;
+ assign data_count[11] = \ ;
+ assign data_count[10] = \ ;
+ assign data_count[9] = \ ;
+ assign data_count[8] = \ ;
+ assign data_count[7] = \ ;
+ assign data_count[6] = \ ;
+ assign data_count[5] = \ ;
+ assign data_count[4] = \ ;
+ assign data_count[3] = \ ;
+ assign data_count[2] = \ ;
+ assign data_count[1] = \ ;
+ assign data_count[0] = \ ;
+ assign dbiterr = \ ;
+ assign m_axi_araddr[31] = \ ;
+ assign m_axi_araddr[30] = \ ;
+ assign m_axi_araddr[29] = \ ;
+ assign m_axi_araddr[28] = \ ;
+ assign m_axi_araddr[27] = \ ;
+ assign m_axi_araddr[26] = \ ;
+ assign m_axi_araddr[25] = \ ;
+ assign m_axi_araddr[24] = \ ;
+ assign m_axi_araddr[23] = \ ;
+ assign m_axi_araddr[22] = \ ;
+ assign m_axi_araddr[21] = \ ;
+ assign m_axi_araddr[20] = \ ;
+ assign m_axi_araddr[19] = \ ;
+ assign m_axi_araddr[18] = \ ;
+ assign m_axi_araddr[17] = \ ;
+ assign m_axi_araddr[16] = \ ;
+ assign m_axi_araddr[15] = \ ;
+ assign m_axi_araddr[14] = \ ;
+ assign m_axi_araddr[13] = \ ;
+ assign m_axi_araddr[12] = \ ;
+ assign m_axi_araddr[11] = \ ;
+ assign m_axi_araddr[10] = \ ;
+ assign m_axi_araddr[9] = \ ;
+ assign m_axi_araddr[8] = \ ;
+ assign m_axi_araddr[7] = \ ;
+ assign m_axi_araddr[6] = \ ;
+ assign m_axi_araddr[5] = \ ;
+ assign m_axi_araddr[4] = \ ;
+ assign m_axi_araddr[3] = \ ;
+ assign m_axi_araddr[2] = \ ;
+ assign m_axi_araddr[1] = \ ;
+ assign m_axi_araddr[0] = \ ;
+ assign m_axi_arburst[1] = \ ;
+ assign m_axi_arburst[0] = \ ;
+ assign m_axi_arcache[3] = \ ;
+ assign m_axi_arcache[2] = \ ;
+ assign m_axi_arcache[1] = \ ;
+ assign m_axi_arcache[0] = \ ;
+ assign m_axi_arid[0] = \ ;
+ assign m_axi_arlen[7] = \ ;
+ assign m_axi_arlen[6] = \ ;
+ assign m_axi_arlen[5] = \ ;
+ assign m_axi_arlen[4] = \ ;
+ assign m_axi_arlen[3] = \ ;
+ assign m_axi_arlen[2] = \ ;
+ assign m_axi_arlen[1] = \ ;
+ assign m_axi_arlen[0] = \ ;
+ assign m_axi_arlock[0] = \ ;
+ assign m_axi_arprot[2] = \ ;
+ assign m_axi_arprot[1] = \ ;
+ assign m_axi_arprot[0] = \ ;
+ assign m_axi_arqos[3] = \ ;
+ assign m_axi_arqos[2] = \ ;
+ assign m_axi_arqos[1] = \ ;
+ assign m_axi_arqos[0] = \ ;
+ assign m_axi_arregion[3] = \ ;
+ assign m_axi_arregion[2] = \