Add blinky post-synthesis testbench
Signed-off-by: Clifford Wolf <clifford@clifford.at>
This commit is contained in:
parent
4a79e70470
commit
032c94d094
9
ice40/.gitignore
vendored
9
ice40/.gitignore
vendored
@ -1,5 +1,4 @@
|
||||
/chipdb-1k.cc
|
||||
/chipdb-384.cc
|
||||
/chipdb-5k.cc
|
||||
/chipdb-8k.cc
|
||||
chipdbs/
|
||||
/blinky_chip.v
|
||||
/blinky_tb
|
||||
/blinky_tb.vcd
|
||||
/chipdbs/
|
||||
|
7
ice40/blinky.sh
Normal file
7
ice40/blinky.sh
Normal file
@ -0,0 +1,7 @@
|
||||
#!/bin/bash
|
||||
set -ex
|
||||
yosys blinky.ys
|
||||
../nextpnr-ice40 --json blinky.json --asc blinky.asc
|
||||
icebox_vlog blinky.asc > blinky_chip.v
|
||||
iverilog -o blinky_tb blinky_chip.v blinky_tb.v
|
||||
./blinky_tb
|
15
ice40/blinky_tb.v
Normal file
15
ice40/blinky_tb.v
Normal file
@ -0,0 +1,15 @@
|
||||
module blinky_tb;
|
||||
reg clk;
|
||||
always #5 clk = (clk === 1'b0);
|
||||
|
||||
chip uut (
|
||||
.io_0_8_1(clk)
|
||||
);
|
||||
|
||||
initial begin
|
||||
$dumpfile("blinky_tb.vcd");
|
||||
$dumpvars(0, blinky_tb);
|
||||
repeat (9000000) @(posedge clk);
|
||||
$finish;
|
||||
end
|
||||
endmodule
|
Loading…
Reference in New Issue
Block a user