interchange: counter: testing on multiple boards
Signed-off-by: Alessandro Comodi <acomodi@antmicro.com>
This commit is contained in:
parent
ec98fee1ee
commit
366f8782cb
@ -338,6 +338,7 @@ function(add_interchange_group_test)
|
||||
xdc ${board}.xdc
|
||||
sources ${sources}
|
||||
top ${top}
|
||||
techmap ${techmap}
|
||||
)
|
||||
endforeach()
|
||||
endfunction()
|
||||
|
@ -1,21 +1,8 @@
|
||||
add_interchange_test(
|
||||
name counter_basys3
|
||||
add_interchange_group_test(
|
||||
name counter
|
||||
family ${family}
|
||||
device xc7a35t
|
||||
package cpg236
|
||||
board_list basys3 arty35t arty100t
|
||||
tcl run.tcl
|
||||
xdc counter_basys3.xdc
|
||||
sources counter.v
|
||||
techmap ../../remap.v
|
||||
)
|
||||
|
||||
add_interchange_test(
|
||||
name counter_arty
|
||||
family ${family}
|
||||
device xc7a35t
|
||||
package csg324
|
||||
tcl run.tcl
|
||||
xdc counter_arty.xdc
|
||||
sources counter.v
|
||||
techmap ../../remap.v
|
||||
)
|
||||
|
@ -1,10 +1,10 @@
|
||||
## basys3 breakout board
|
||||
set_property PACKAGE_PIN E3 [get_ports clk]
|
||||
set_property PACKAGE_PIN C2 [get_ports rst]
|
||||
set_property PACKAGE_PIN N15 [get_ports io_led[4]]
|
||||
set_property PACKAGE_PIN N16 [get_ports io_led[5]]
|
||||
set_property PACKAGE_PIN P17 [get_ports io_led[6]]
|
||||
set_property PACKAGE_PIN R17 [get_ports io_led[7]]
|
||||
## arty-100t board
|
||||
set_property PACKAGE_PIN E3 [get_ports clk]
|
||||
set_property PACKAGE_PIN D9 [get_ports rst]
|
||||
set_property PACKAGE_PIN H5 [get_ports io_led[4]]
|
||||
set_property PACKAGE_PIN J5 [get_ports io_led[5]]
|
||||
set_property PACKAGE_PIN T9 [get_ports io_led[6]]
|
||||
set_property PACKAGE_PIN T10 [get_ports io_led[7]]
|
||||
|
||||
set_property IOSTANDARD LVCMOS33 [get_ports clk]
|
||||
set_property IOSTANDARD LVCMOS33 [get_ports rst]
|
14
fpga_interchange/examples/tests/counter/arty35t.xdc
Normal file
14
fpga_interchange/examples/tests/counter/arty35t.xdc
Normal file
@ -0,0 +1,14 @@
|
||||
## arty-35t board
|
||||
set_property PACKAGE_PIN E3 [get_ports clk]
|
||||
set_property PACKAGE_PIN D9 [get_ports rst]
|
||||
set_property PACKAGE_PIN H5 [get_ports io_led[4]]
|
||||
set_property PACKAGE_PIN J5 [get_ports io_led[5]]
|
||||
set_property PACKAGE_PIN T9 [get_ports io_led[6]]
|
||||
set_property PACKAGE_PIN T10 [get_ports io_led[7]]
|
||||
|
||||
set_property IOSTANDARD LVCMOS33 [get_ports clk]
|
||||
set_property IOSTANDARD LVCMOS33 [get_ports rst]
|
||||
set_property IOSTANDARD LVCMOS33 [get_ports io_led[4]]
|
||||
set_property IOSTANDARD LVCMOS33 [get_ports io_led[5]]
|
||||
set_property IOSTANDARD LVCMOS33 [get_ports io_led[6]]
|
||||
set_property IOSTANDARD LVCMOS33 [get_ports io_led[7]]
|
Loading…
Reference in New Issue
Block a user