diff --git a/fpga_interchange/examples/lut/lut.xdc b/fpga_interchange/examples/lut/lut.xdc index 4f7e948b..4f390f25 100644 --- a/fpga_interchange/examples/lut/lut.xdc +++ b/fpga_interchange/examples/lut/lut.xdc @@ -2,4 +2,6 @@ set_property PACKAGE_PIN N16 [get_ports i0] set_property PACKAGE_PIN N15 [get_ports i1] set_property PACKAGE_PIN M17 [get_ports o] -#set_property IOSTANDARD LVCMOS33 [get_ports] +set_property IOSTANDARD LVCMOS33 [get_ports i0] +set_property IOSTANDARD LVCMOS33 [get_ports i1] +set_property IOSTANDARD LVCMOS33 [get_ports o]