nextpnr/fpga_interchange/examples/tests/wire/wire_zybo.xdc
Alessandro Comodi 336d31cbcf fpga_interchange: add more devices
Signed-off-by: Alessandro Comodi <acomodi@antmicro.com>
2021-03-23 20:36:23 +01:00

6 lines
181 B
Tcl

set_property PACKAGE_PIN G15 [get_ports i]
set_property PACKAGE_PIN M14 [get_ports o]
set_property IOSTANDARD LVCMOS33 [get_ports i]
set_property IOSTANDARD LVCMOS33 [get_ports o]