diff --git a/Packages/.repos.xml b/Packages/.repos.xml
new file mode 100644
index 0000000..55f8c8c
--- /dev/null
+++ b/Packages/.repos.xml
@@ -0,0 +1,13 @@
+
+
+
+ CMSIS Pack
+ Keil
+ http://www.keil.com/pack/index.idx
+
+
+ XCDL/CMSIS Pack
+ GNU ARM Eclipse
+ http://gnuarmeclipse.sourceforge.net/packages/content.xml
+
+
diff --git a/project_1/design_1_wrapper.xsa b/project_1/design_1_wrapper.xsa
index ebc5348..a818322 100644
Binary files a/project_1/design_1_wrapper.xsa and b/project_1/design_1_wrapper.xsa differ
diff --git a/project_1/project_1.cache/wt/project.wpc b/project_1/project_1.cache/wt/project.wpc
index 3c63dc5..7a5a66e 100644
--- a/project_1/project_1.cache/wt/project.wpc
+++ b/project_1/project_1.cache/wt/project.wpc
@@ -1,4 +1,4 @@
version:1
-57656254616c6b5472616e736d697373696f6e417474656d70746564:1
-6d6f64655f636f756e7465727c4755494d6f6465:1
+57656254616c6b5472616e736d697373696f6e417474656d70746564:8
+6d6f64655f636f756e7465727c4755494d6f6465:4
eof:
diff --git a/project_1/project_1.cache/wt/synthesis.wdf b/project_1/project_1.cache/wt/synthesis.wdf
index b1be6aa..2a460ec 100644
--- a/project_1/project_1.cache/wt/synthesis.wdf
+++ b/project_1/project_1.cache/wt/synthesis.wdf
@@ -41,7 +41,7 @@ version:1
73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f74696d696e675f64726976656e:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73666375:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d64656275675f6c6f67:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
-73796e746865736973:73796e7468657369735c7573616765:656c6170736564:30303a30303a333573:00:00
-73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f7065616b:313332302e3636304d42:00:00
-73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f6761696e:3930362e3531364d42:00:00
-eof:2809958735
+73796e746865736973:73796e7468657369735c7573616765:656c6170736564:30303a30303a333773:00:00
+73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f7065616b:313330342e3436314d42:00:00
+73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f6761696e:3838342e3331324d42:00:00
+eof:2933133820
diff --git a/project_1/project_1.cache/wt/webtalk_pa.xml b/project_1/project_1.cache/wt/webtalk_pa.xml
index bf28112..2d03aa6 100644
--- a/project_1/project_1.cache/wt/webtalk_pa.xml
+++ b/project_1/project_1.cache/wt/webtalk_pa.xml
@@ -3,10 +3,10 @@
-
+
-
diff --git a/project_1/project_1.gen/sources_1/bd/design_1/design_1.bda b/project_1/project_1.gen/sources_1/bd/design_1/design_1.bda
index 71fde4b..62d5f22 100644
--- a/project_1/project_1.gen/sources_1/bd/design_1/design_1.bda
+++ b/project_1/project_1.gen/sources_1/bd/design_1/design_1.bda
@@ -23,9 +23,8 @@
- 2
design_1
- VR
+ BC
active
@@ -33,10 +32,11 @@
PM
+ 2
design_1
- BC
+ VR
-
-
+
+
diff --git a/project_1/project_1.gen/sources_1/bd/design_1/design_1.bxml b/project_1/project_1.gen/sources_1/bd/design_1/design_1.bxml
index 697c884..2d3857a 100644
--- a/project_1/project_1.gen/sources_1/bd/design_1/design_1.bxml
+++ b/project_1/project_1.gen/sources_1/bd/design_1/design_1.bxml
@@ -2,10 +2,10 @@
Composite Fileset
-
-
-
-
+
+
+
+
diff --git a/project_1/project_1.gen/sources_1/bd/design_1/design_1_ooc.xdc b/project_1/project_1.gen/sources_1/bd/design_1/design_1_ooc.xdc
index 46d92ca..7fac2b2 100644
--- a/project_1/project_1.gen/sources_1/bd/design_1/design_1_ooc.xdc
+++ b/project_1/project_1.gen/sources_1/bd/design_1/design_1_ooc.xdc
@@ -6,6 +6,5 @@
# This constraints file is not used in normal top-down synthesis (default flow
# of Vivado)
################################################################################
-create_clock -name processing_system7_0_FCLK_CLK0 -period 20 [get_pins processing_system7_0/FCLK_CLK0]
################################################################################
\ No newline at end of file
diff --git a/project_1/project_1.gen/sources_1/bd/design_1/hdl/design_1_wrapper.v b/project_1/project_1.gen/sources_1/bd/design_1/hdl/design_1_wrapper.v
index c89c718..2ed0977 100644
--- a/project_1/project_1.gen/sources_1/bd/design_1/hdl/design_1_wrapper.v
+++ b/project_1/project_1.gen/sources_1/bd/design_1/hdl/design_1_wrapper.v
@@ -1,7 +1,7 @@
//Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
//--------------------------------------------------------------------------------
//Tool Version: Vivado v.2022.2 (win64) Build 3671981 Fri Oct 14 05:00:03 MDT 2022
-//Date : Sun Oct 20 21:34:05 2024
+//Date : Fri Oct 25 01:46:36 2024
//Host : destop1 running 64-bit major release (build 9200)
//Command : generate_target design_1_wrapper.bd
//Design : design_1_wrapper
diff --git a/project_1/project_1.gen/sources_1/bd/design_1/hw_handoff/design_1.hwh b/project_1/project_1.gen/sources_1/bd/design_1/hw_handoff/design_1.hwh
index f3bd433..7b770a2 100644
--- a/project_1/project_1.gen/sources_1/bd/design_1/hw_handoff/design_1.hwh
+++ b/project_1/project_1.gen/sources_1/bd/design_1/hw_handoff/design_1.hwh
@@ -1,5 +1,5 @@
-
+
@@ -14,12 +14,12 @@
-
+
-
+
@@ -29,7 +29,7 @@
-
+
@@ -213,7 +213,7 @@
-
+
@@ -256,11 +256,11 @@
-
+
-
+
@@ -326,7 +326,7 @@
-
+
@@ -353,9 +353,9 @@
-
-
-
+
+
+
@@ -369,27 +369,27 @@
-
+
-
+
-
-
-
-
-
-
-
+
+
+
+
+
+
+
-
-
+
+
@@ -397,11 +397,11 @@
-
+
-
+
@@ -410,8 +410,8 @@
-
-
+
+
@@ -420,12 +420,12 @@
-
+
-
-
+
+
-
+
@@ -546,7 +546,7 @@
-
+
@@ -568,11 +568,11 @@
-
+
-
+
@@ -726,10 +726,10 @@
-
-
-
-
+
+
+
+
@@ -792,8 +792,8 @@
-
-
+
+
@@ -828,54 +828,54 @@
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
@@ -884,14 +884,14 @@
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
@@ -904,152 +904,152 @@
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
-
-
+
+
-
+
@@ -1101,8 +1101,6 @@
-
-
diff --git a/project_1/project_1.gen/sources_1/bd/design_1/ip/design_1_processing_system7_0_0/design_1_processing_system7_0_0.dcp b/project_1/project_1.gen/sources_1/bd/design_1/ip/design_1_processing_system7_0_0/design_1_processing_system7_0_0.dcp
index 8d6a2fa..b44361e 100644
Binary files a/project_1/project_1.gen/sources_1/bd/design_1/ip/design_1_processing_system7_0_0/design_1_processing_system7_0_0.dcp and b/project_1/project_1.gen/sources_1/bd/design_1/ip/design_1_processing_system7_0_0/design_1_processing_system7_0_0.dcp differ
diff --git a/project_1/project_1.gen/sources_1/bd/design_1/ip/design_1_processing_system7_0_0/design_1_processing_system7_0_0.xdc b/project_1/project_1.gen/sources_1/bd/design_1/ip/design_1_processing_system7_0_0/design_1_processing_system7_0_0.xdc
index 9943577..7811620 100644
--- a/project_1/project_1.gen/sources_1/bd/design_1/ip/design_1_processing_system7_0_0/design_1_processing_system7_0_0.xdc
+++ b/project_1/project_1.gen/sources_1/bd/design_1/ip/design_1_processing_system7_0_0/design_1_processing_system7_0_0.xdc
@@ -17,15 +17,236 @@
############################################################################
# Clock constraints #
############################################################################
-create_clock -name clk_fpga_0 -period "20" [get_pins "PS7_i/FCLKCLK[0]"]
-set_input_jitter clk_fpga_0 0.6
-#The clocks are asynchronous, user should constrain them appropriately.#
############################################################################
# I/O STANDARDS and Location Constraints #
############################################################################
+# GPIO / gpio[53] / MIO[53]
+set_property iostandard "LVCMOS33" [get_ports "MIO[53]"]
+set_property PACKAGE_PIN "C11" [get_ports "MIO[53]"]
+set_property slew "slow" [get_ports "MIO[53]"]
+set_property drive "8" [get_ports "MIO[53]"]
+set_property pullup "TRUE" [get_ports "MIO[53]"]
+set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[53]"]
+# GPIO / gpio[52] / MIO[52]
+set_property iostandard "LVCMOS33" [get_ports "MIO[52]"]
+set_property PACKAGE_PIN "C10" [get_ports "MIO[52]"]
+set_property slew "slow" [get_ports "MIO[52]"]
+set_property drive "8" [get_ports "MIO[52]"]
+set_property pullup "TRUE" [get_ports "MIO[52]"]
+set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[52]"]
+# GPIO / gpio[51] / MIO[51]
+set_property iostandard "LVCMOS33" [get_ports "MIO[51]"]
+set_property PACKAGE_PIN "B9" [get_ports "MIO[51]"]
+set_property slew "slow" [get_ports "MIO[51]"]
+set_property drive "8" [get_ports "MIO[51]"]
+set_property pullup "TRUE" [get_ports "MIO[51]"]
+set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[51]"]
+# GPIO / gpio[50] / MIO[50]
+set_property iostandard "LVCMOS33" [get_ports "MIO[50]"]
+set_property PACKAGE_PIN "B13" [get_ports "MIO[50]"]
+set_property slew "slow" [get_ports "MIO[50]"]
+set_property drive "8" [get_ports "MIO[50]"]
+set_property pullup "TRUE" [get_ports "MIO[50]"]
+set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[50]"]
+# GPIO / gpio[49] / MIO[49]
+set_property iostandard "LVCMOS33" [get_ports "MIO[49]"]
+set_property PACKAGE_PIN "C12" [get_ports "MIO[49]"]
+set_property slew "slow" [get_ports "MIO[49]"]
+set_property drive "8" [get_ports "MIO[49]"]
+set_property pullup "TRUE" [get_ports "MIO[49]"]
+set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[49]"]
+# GPIO / gpio[48] / MIO[48]
+set_property iostandard "LVCMOS33" [get_ports "MIO[48]"]
+set_property PACKAGE_PIN "B12" [get_ports "MIO[48]"]
+set_property slew "slow" [get_ports "MIO[48]"]
+set_property drive "8" [get_ports "MIO[48]"]
+set_property pullup "TRUE" [get_ports "MIO[48]"]
+set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[48]"]
+# GPIO / gpio[47] / MIO[47]
+set_property iostandard "LVCMOS33" [get_ports "MIO[47]"]
+set_property PACKAGE_PIN "B14" [get_ports "MIO[47]"]
+set_property slew "slow" [get_ports "MIO[47]"]
+set_property drive "8" [get_ports "MIO[47]"]
+set_property pullup "TRUE" [get_ports "MIO[47]"]
+set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[47]"]
+# GPIO / gpio[46] / MIO[46]
+set_property iostandard "LVCMOS33" [get_ports "MIO[46]"]
+set_property PACKAGE_PIN "D16" [get_ports "MIO[46]"]
+set_property slew "slow" [get_ports "MIO[46]"]
+set_property drive "8" [get_ports "MIO[46]"]
+set_property pullup "TRUE" [get_ports "MIO[46]"]
+set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[46]"]
+# GPIO / gpio[45] / MIO[45]
+set_property iostandard "LVCMOS33" [get_ports "MIO[45]"]
+set_property PACKAGE_PIN "B15" [get_ports "MIO[45]"]
+set_property slew "slow" [get_ports "MIO[45]"]
+set_property drive "8" [get_ports "MIO[45]"]
+set_property pullup "TRUE" [get_ports "MIO[45]"]
+set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[45]"]
+# GPIO / gpio[44] / MIO[44]
+set_property iostandard "LVCMOS33" [get_ports "MIO[44]"]
+set_property PACKAGE_PIN "F13" [get_ports "MIO[44]"]
+set_property slew "slow" [get_ports "MIO[44]"]
+set_property drive "8" [get_ports "MIO[44]"]
+set_property pullup "TRUE" [get_ports "MIO[44]"]
+set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[44]"]
+# GPIO / gpio[43] / MIO[43]
+set_property iostandard "LVCMOS33" [get_ports "MIO[43]"]
+set_property PACKAGE_PIN "A9" [get_ports "MIO[43]"]
+set_property slew "slow" [get_ports "MIO[43]"]
+set_property drive "8" [get_ports "MIO[43]"]
+set_property pullup "TRUE" [get_ports "MIO[43]"]
+set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[43]"]
+# GPIO / gpio[42] / MIO[42]
+set_property iostandard "LVCMOS33" [get_ports "MIO[42]"]
+set_property PACKAGE_PIN "E12" [get_ports "MIO[42]"]
+set_property slew "slow" [get_ports "MIO[42]"]
+set_property drive "8" [get_ports "MIO[42]"]
+set_property pullup "TRUE" [get_ports "MIO[42]"]
+set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[42]"]
+# GPIO / gpio[41] / MIO[41]
+set_property iostandard "LVCMOS33" [get_ports "MIO[41]"]
+set_property PACKAGE_PIN "C17" [get_ports "MIO[41]"]
+set_property slew "slow" [get_ports "MIO[41]"]
+set_property drive "8" [get_ports "MIO[41]"]
+set_property pullup "TRUE" [get_ports "MIO[41]"]
+set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[41]"]
+# GPIO / gpio[40] / MIO[40]
+set_property iostandard "LVCMOS33" [get_ports "MIO[40]"]
+set_property PACKAGE_PIN "D14" [get_ports "MIO[40]"]
+set_property slew "slow" [get_ports "MIO[40]"]
+set_property drive "8" [get_ports "MIO[40]"]
+set_property pullup "TRUE" [get_ports "MIO[40]"]
+set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[40]"]
+# GPIO / gpio[39] / MIO[39]
+set_property iostandard "LVCMOS33" [get_ports "MIO[39]"]
+set_property PACKAGE_PIN "C18" [get_ports "MIO[39]"]
+set_property slew "slow" [get_ports "MIO[39]"]
+set_property drive "8" [get_ports "MIO[39]"]
+set_property pullup "TRUE" [get_ports "MIO[39]"]
+set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[39]"]
+# GPIO / gpio[38] / MIO[38]
+set_property iostandard "LVCMOS33" [get_ports "MIO[38]"]
+set_property PACKAGE_PIN "E13" [get_ports "MIO[38]"]
+set_property slew "slow" [get_ports "MIO[38]"]
+set_property drive "8" [get_ports "MIO[38]"]
+set_property pullup "TRUE" [get_ports "MIO[38]"]
+set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[38]"]
+# GPIO / gpio[37] / MIO[37]
+set_property iostandard "LVCMOS33" [get_ports "MIO[37]"]
+set_property PACKAGE_PIN "A10" [get_ports "MIO[37]"]
+set_property slew "slow" [get_ports "MIO[37]"]
+set_property drive "8" [get_ports "MIO[37]"]
+set_property pullup "TRUE" [get_ports "MIO[37]"]
+set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[37]"]
+# GPIO / gpio[36] / MIO[36]
+set_property iostandard "LVCMOS33" [get_ports "MIO[36]"]
+set_property PACKAGE_PIN "A11" [get_ports "MIO[36]"]
+set_property slew "slow" [get_ports "MIO[36]"]
+set_property drive "8" [get_ports "MIO[36]"]
+set_property pullup "TRUE" [get_ports "MIO[36]"]
+set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[36]"]
+# GPIO / gpio[35] / MIO[35]
+set_property iostandard "LVCMOS33" [get_ports "MIO[35]"]
+set_property PACKAGE_PIN "F12" [get_ports "MIO[35]"]
+set_property slew "slow" [get_ports "MIO[35]"]
+set_property drive "8" [get_ports "MIO[35]"]
+set_property pullup "TRUE" [get_ports "MIO[35]"]
+set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[35]"]
+# GPIO / gpio[34] / MIO[34]
+set_property iostandard "LVCMOS33" [get_ports "MIO[34]"]
+set_property PACKAGE_PIN "A12" [get_ports "MIO[34]"]
+set_property slew "slow" [get_ports "MIO[34]"]
+set_property drive "8" [get_ports "MIO[34]"]
+set_property pullup "TRUE" [get_ports "MIO[34]"]
+set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[34]"]
+# GPIO / gpio[33] / MIO[33]
+set_property iostandard "LVCMOS33" [get_ports "MIO[33]"]
+set_property PACKAGE_PIN "D15" [get_ports "MIO[33]"]
+set_property slew "slow" [get_ports "MIO[33]"]
+set_property drive "8" [get_ports "MIO[33]"]
+set_property pullup "TRUE" [get_ports "MIO[33]"]
+set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[33]"]
+# GPIO / gpio[32] / MIO[32]
+set_property iostandard "LVCMOS33" [get_ports "MIO[32]"]
+set_property PACKAGE_PIN "A14" [get_ports "MIO[32]"]
+set_property slew "slow" [get_ports "MIO[32]"]
+set_property drive "8" [get_ports "MIO[32]"]
+set_property pullup "TRUE" [get_ports "MIO[32]"]
+set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[32]"]
+# GPIO / gpio[31] / MIO[31]
+set_property iostandard "LVCMOS33" [get_ports "MIO[31]"]
+set_property PACKAGE_PIN "E16" [get_ports "MIO[31]"]
+set_property slew "slow" [get_ports "MIO[31]"]
+set_property drive "8" [get_ports "MIO[31]"]
+set_property pullup "TRUE" [get_ports "MIO[31]"]
+set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[31]"]
+# GPIO / gpio[30] / MIO[30]
+set_property iostandard "LVCMOS33" [get_ports "MIO[30]"]
+set_property PACKAGE_PIN "C15" [get_ports "MIO[30]"]
+set_property slew "slow" [get_ports "MIO[30]"]
+set_property drive "8" [get_ports "MIO[30]"]
+set_property pullup "TRUE" [get_ports "MIO[30]"]
+set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[30]"]
+# GPIO / gpio[29] / MIO[29]
+set_property iostandard "LVCMOS33" [get_ports "MIO[29]"]
+set_property PACKAGE_PIN "C13" [get_ports "MIO[29]"]
+set_property slew "slow" [get_ports "MIO[29]"]
+set_property drive "8" [get_ports "MIO[29]"]
+set_property pullup "TRUE" [get_ports "MIO[29]"]
+set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[29]"]
+# GPIO / gpio[28] / MIO[28]
+set_property iostandard "LVCMOS33" [get_ports "MIO[28]"]
+set_property PACKAGE_PIN "C16" [get_ports "MIO[28]"]
+set_property slew "slow" [get_ports "MIO[28]"]
+set_property drive "8" [get_ports "MIO[28]"]
+set_property pullup "TRUE" [get_ports "MIO[28]"]
+set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[28]"]
+# GPIO / gpio[27] / MIO[27]
+set_property iostandard "LVCMOS33" [get_ports "MIO[27]"]
+set_property PACKAGE_PIN "D13" [get_ports "MIO[27]"]
+set_property slew "slow" [get_ports "MIO[27]"]
+set_property drive "8" [get_ports "MIO[27]"]
+set_property pullup "TRUE" [get_ports "MIO[27]"]
+set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[27]"]
+# GPIO / gpio[26] / MIO[26]
+set_property iostandard "LVCMOS33" [get_ports "MIO[26]"]
+set_property PACKAGE_PIN "A15" [get_ports "MIO[26]"]
+set_property slew "slow" [get_ports "MIO[26]"]
+set_property drive "8" [get_ports "MIO[26]"]
+set_property pullup "TRUE" [get_ports "MIO[26]"]
+set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[26]"]
+# GPIO / gpio[25] / MIO[25]
+set_property iostandard "LVCMOS33" [get_ports "MIO[25]"]
+set_property PACKAGE_PIN "F15" [get_ports "MIO[25]"]
+set_property slew "slow" [get_ports "MIO[25]"]
+set_property drive "8" [get_ports "MIO[25]"]
+set_property pullup "TRUE" [get_ports "MIO[25]"]
+set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[25]"]
+# GPIO / gpio[24] / MIO[24]
+set_property iostandard "LVCMOS33" [get_ports "MIO[24]"]
+set_property PACKAGE_PIN "A16" [get_ports "MIO[24]"]
+set_property slew "slow" [get_ports "MIO[24]"]
+set_property drive "8" [get_ports "MIO[24]"]
+set_property pullup "TRUE" [get_ports "MIO[24]"]
+set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[24]"]
+# GPIO / gpio[23] / MIO[23]
+set_property iostandard "LVCMOS33" [get_ports "MIO[23]"]
+set_property PACKAGE_PIN "D11" [get_ports "MIO[23]"]
+set_property slew "slow" [get_ports "MIO[23]"]
+set_property drive "8" [get_ports "MIO[23]"]
+set_property pullup "TRUE" [get_ports "MIO[23]"]
+set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[23]"]
+# GPIO / gpio[22] / MIO[22]
+set_property iostandard "LVCMOS33" [get_ports "MIO[22]"]
+set_property PACKAGE_PIN "B17" [get_ports "MIO[22]"]
+set_property slew "slow" [get_ports "MIO[22]"]
+set_property drive "8" [get_ports "MIO[22]"]
+set_property pullup "TRUE" [get_ports "MIO[22]"]
+set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[22]"]
# SPI 0 / mosi / MIO[21]
set_property iostandard "LVCMOS33" [get_ports "MIO[21]"]
set_property PACKAGE_PIN "F14" [get_ports "MIO[21]"]
@@ -33,6 +254,20 @@ set_property slew "slow" [get_ports "MIO[21]"]
set_property drive "8" [get_ports "MIO[21]"]
set_property pullup "TRUE" [get_ports "MIO[21]"]
set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[21]"]
+# SPI 0 / ss[2] / MIO[20]
+set_property iostandard "LVCMOS33" [get_ports "MIO[20]"]
+set_property PACKAGE_PIN "A17" [get_ports "MIO[20]"]
+set_property slew "slow" [get_ports "MIO[20]"]
+set_property drive "8" [get_ports "MIO[20]"]
+set_property pullup "TRUE" [get_ports "MIO[20]"]
+set_property PIO_DIRECTION "OUTPUT" [get_ports "MIO[20]"]
+# SPI 0 / ss[1] / MIO[19]
+set_property iostandard "LVCMOS33" [get_ports "MIO[19]"]
+set_property PACKAGE_PIN "D10" [get_ports "MIO[19]"]
+set_property slew "slow" [get_ports "MIO[19]"]
+set_property drive "8" [get_ports "MIO[19]"]
+set_property pullup "TRUE" [get_ports "MIO[19]"]
+set_property PIO_DIRECTION "OUTPUT" [get_ports "MIO[19]"]
# SPI 0 / ss[0] / MIO[18]
set_property iostandard "LVCMOS33" [get_ports "MIO[18]"]
set_property PACKAGE_PIN "B18" [get_ports "MIO[18]"]
@@ -54,6 +289,20 @@ set_property slew "slow" [get_ports "MIO[16]"]
set_property drive "8" [get_ports "MIO[16]"]
set_property pullup "TRUE" [get_ports "MIO[16]"]
set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[16]"]
+# GPIO / gpio[15] / MIO[15]
+set_property iostandard "LVCMOS33" [get_ports "MIO[15]"]
+set_property PACKAGE_PIN "C8" [get_ports "MIO[15]"]
+set_property slew "slow" [get_ports "MIO[15]"]
+set_property drive "8" [get_ports "MIO[15]"]
+set_property pullup "TRUE" [get_ports "MIO[15]"]
+set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[15]"]
+# GPIO / gpio[14] / MIO[14]
+set_property iostandard "LVCMOS33" [get_ports "MIO[14]"]
+set_property PACKAGE_PIN "C5" [get_ports "MIO[14]"]
+set_property slew "slow" [get_ports "MIO[14]"]
+set_property drive "8" [get_ports "MIO[14]"]
+set_property pullup "TRUE" [get_ports "MIO[14]"]
+set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[14]"]
# UART 1 / rx / MIO[13]
set_property iostandard "LVCMOS33" [get_ports "MIO[13]"]
set_property PACKAGE_PIN "E8" [get_ports "MIO[13]"]
@@ -68,6 +317,83 @@ set_property slew "slow" [get_ports "MIO[12]"]
set_property drive "8" [get_ports "MIO[12]"]
set_property pullup "TRUE" [get_ports "MIO[12]"]
set_property PIO_DIRECTION "OUTPUT" [get_ports "MIO[12]"]
+# GPIO / gpio[11] / MIO[11]
+set_property iostandard "LVCMOS33" [get_ports "MIO[11]"]
+set_property PACKAGE_PIN "C6" [get_ports "MIO[11]"]
+set_property slew "slow" [get_ports "MIO[11]"]
+set_property drive "8" [get_ports "MIO[11]"]
+set_property pullup "TRUE" [get_ports "MIO[11]"]
+set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[11]"]
+# GPIO / gpio[10] / MIO[10]
+set_property iostandard "LVCMOS33" [get_ports "MIO[10]"]
+set_property PACKAGE_PIN "E9" [get_ports "MIO[10]"]
+set_property slew "slow" [get_ports "MIO[10]"]
+set_property drive "8" [get_ports "MIO[10]"]
+set_property pullup "TRUE" [get_ports "MIO[10]"]
+set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[10]"]
+# GPIO / gpio[9] / MIO[9]
+set_property iostandard "LVCMOS33" [get_ports "MIO[9]"]
+set_property PACKAGE_PIN "B5" [get_ports "MIO[9]"]
+set_property slew "slow" [get_ports "MIO[9]"]
+set_property drive "8" [get_ports "MIO[9]"]
+set_property pullup "TRUE" [get_ports "MIO[9]"]
+set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[9]"]
+# GPIO / gpio[8] / MIO[8]
+set_property iostandard "LVCMOS33" [get_ports "MIO[8]"]
+set_property PACKAGE_PIN "D5" [get_ports "MIO[8]"]
+set_property slew "slow" [get_ports "MIO[8]"]
+set_property drive "8" [get_ports "MIO[8]"]
+set_property PIO_DIRECTION "OUTPUT" [get_ports "MIO[8]"]
+# GPIO / gpio[7] / MIO[7]
+set_property iostandard "LVCMOS33" [get_ports "MIO[7]"]
+set_property PACKAGE_PIN "D8" [get_ports "MIO[7]"]
+set_property slew "slow" [get_ports "MIO[7]"]
+set_property drive "8" [get_ports "MIO[7]"]
+set_property PIO_DIRECTION "OUTPUT" [get_ports "MIO[7]"]
+# GPIO / gpio[6] / MIO[6]
+set_property iostandard "LVCMOS33" [get_ports "MIO[6]"]
+set_property PACKAGE_PIN "A5" [get_ports "MIO[6]"]
+set_property slew "slow" [get_ports "MIO[6]"]
+set_property drive "8" [get_ports "MIO[6]"]
+set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[6]"]
+# GPIO / gpio[5] / MIO[5]
+set_property iostandard "LVCMOS33" [get_ports "MIO[5]"]
+set_property PACKAGE_PIN "A6" [get_ports "MIO[5]"]
+set_property slew "slow" [get_ports "MIO[5]"]
+set_property drive "8" [get_ports "MIO[5]"]
+set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[5]"]
+# GPIO / gpio[4] / MIO[4]
+set_property iostandard "LVCMOS33" [get_ports "MIO[4]"]
+set_property PACKAGE_PIN "B7" [get_ports "MIO[4]"]
+set_property slew "slow" [get_ports "MIO[4]"]
+set_property drive "8" [get_ports "MIO[4]"]
+set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[4]"]
+# GPIO / gpio[3] / MIO[3]
+set_property iostandard "LVCMOS33" [get_ports "MIO[3]"]
+set_property PACKAGE_PIN "D6" [get_ports "MIO[3]"]
+set_property slew "slow" [get_ports "MIO[3]"]
+set_property drive "8" [get_ports "MIO[3]"]
+set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[3]"]
+# GPIO / gpio[2] / MIO[2]
+set_property iostandard "LVCMOS33" [get_ports "MIO[2]"]
+set_property PACKAGE_PIN "B8" [get_ports "MIO[2]"]
+set_property slew "slow" [get_ports "MIO[2]"]
+set_property drive "8" [get_ports "MIO[2]"]
+set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[2]"]
+# GPIO / gpio[1] / MIO[1]
+set_property iostandard "LVCMOS33" [get_ports "MIO[1]"]
+set_property PACKAGE_PIN "A7" [get_ports "MIO[1]"]
+set_property slew "slow" [get_ports "MIO[1]"]
+set_property drive "8" [get_ports "MIO[1]"]
+set_property pullup "TRUE" [get_ports "MIO[1]"]
+set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[1]"]
+# GPIO / gpio[0] / MIO[0]
+set_property iostandard "LVCMOS33" [get_ports "MIO[0]"]
+set_property PACKAGE_PIN "E6" [get_ports "MIO[0]"]
+set_property slew "slow" [get_ports "MIO[0]"]
+set_property drive "8" [get_ports "MIO[0]"]
+set_property pullup "TRUE" [get_ports "MIO[0]"]
+set_property PIO_DIRECTION "BIDIR" [get_ports "MIO[0]"]
set_property iostandard "SSTL15_T_DCI" [get_ports "DDR_VRP"]
set_property PACKAGE_PIN "H5" [get_ports "DDR_VRP"]
set_property slew "FAST" [get_ports "DDR_VRP"]
diff --git a/project_1/project_1.gen/sources_1/bd/design_1/ip/design_1_processing_system7_0_0/design_1_processing_system7_0_0.xml b/project_1/project_1.gen/sources_1/bd/design_1/ip/design_1_processing_system7_0_0/design_1_processing_system7_0_0.xml
index 6b1d2ca..d7549ed 100644
--- a/project_1/project_1.gen/sources_1/bd/design_1/ip/design_1_processing_system7_0_0/design_1_processing_system7_0_0.xml
+++ b/project_1/project_1.gen/sources_1/bd/design_1/ip/design_1_processing_system7_0_0/design_1_processing_system7_0_0.xml
@@ -9107,7 +9107,7 @@
FREQ_HZ
- 50000000
+ 1e+07
FREQ_TOLERANCE_HZ
@@ -9176,7 +9176,7 @@
- true
+ false
@@ -9199,7 +9199,7 @@
FREQ_HZ
- 10000000
+ 1e+07
FREQ_TOLERANCE_HZ
@@ -9291,7 +9291,7 @@
FREQ_HZ
- 10000000
+ 1e+07
FREQ_TOLERANCE_HZ
@@ -9383,7 +9383,7 @@
FREQ_HZ
- 10000000
+ 1e+07
FREQ_TOLERANCE_HZ
@@ -9495,7 +9495,7 @@
- true
+ false
@@ -15197,11 +15197,11 @@
GENtimestamp
- Sun Oct 20 13:34:22 UTC 2024
+ Thu Oct 24 17:46:56 UTC 2024
outputProductCRC
- 9:6da19851
+ 9:5a5e7e00
@@ -15212,7 +15212,7 @@
outputProductCRC
- 9:6da19851
+ 9:5a5e7e00
@@ -15228,11 +15228,11 @@
GENtimestamp
- Sun Oct 20 13:34:22 UTC 2024
+ Thu Oct 24 17:46:56 UTC 2024
outputProductCRC
- 9:6da19851
+ 9:5a5e7e00
@@ -15253,7 +15253,7 @@
outputProductCRC
- 9:9d08a276
+ 9:44e5a984
@@ -15269,11 +15269,11 @@
GENtimestamp
- Sun Oct 20 13:34:23 UTC 2024
+ Thu Oct 24 17:46:56 UTC 2024
outputProductCRC
- 9:d40e219b
+ 9:44e5a984
sim_type
@@ -15292,11 +15292,11 @@
GENtimestamp
- Sun Oct 20 13:34:23 UTC 2024
+ Thu Oct 24 17:46:56 UTC 2024
outputProductCRC
- 9:9d08a276
+ 9:44e5a984
sim_type
@@ -15315,11 +15315,11 @@
GENtimestamp
- Sun Oct 20 13:34:23 UTC 2024
+ Thu Oct 24 17:46:57 UTC 2024
outputProductCRC
- 9:9d08a276
+ 9:44e5a984
@@ -15335,11 +15335,11 @@
GENtimestamp
- Sun Oct 20 13:34:23 UTC 2024
+ Thu Oct 24 17:46:57 UTC 2024
outputProductCRC
- 9:d40e219b
+ 9:44e5a984
sim_type
@@ -15358,11 +15358,11 @@
GENtimestamp
- Sun Oct 20 13:34:24 UTC 2024
+ Thu Oct 24 17:46:58 UTC 2024
outputProductCRC
- 9:9d08a276
+ 9:44e5a984
sim_type
@@ -15380,11 +15380,11 @@
GENtimestamp
- Sun Oct 20 13:35:16 UTC 2024
+ Thu Oct 24 17:47:57 UTC 2024
outputProductCRC
- 9:6da19851
+ 9:5a5e7e00
@@ -29711,7 +29711,7 @@
- true
+ false
@@ -29883,7 +29883,7 @@
- true
+ false
@@ -32143,7 +32143,7 @@
C_FCLK_CLK0_BUF
- TRUE
+ FALSE
C_FCLK_CLK1_BUF
@@ -32188,6 +32188,10 @@
7
8
+
+ choice_list_0d5ddc26
+ MIO 20
+
choice_list_0d7de060
ARM PLL
@@ -32228,11 +32232,6 @@
MIO 30 .. 31
MIO 42 .. 43
-
- choice_list_1e4fa2cf
- <Select>
- MIO
-
choice_list_2091a159
<Select>
@@ -32349,11 +32348,6 @@
MIO 50
MIO 52
-
- choice_list_3e08ea62
- <Select>
- MIO 19
-
choice_list_3f5f808e
LVCMOS 3.3V
@@ -32361,12 +32355,6 @@
HSTL 1.8V
LVCMOS 1.8V
-
- choice_list_422ff54b
- <Select>
- fast
- slow
-
choice_list_45a0fd9c
<Select>
@@ -32384,11 +32372,6 @@
0x00100000
0x00040000
-
- choice_list_4a3b26eb
- <Select>
- MIO 20
-
choice_list_4d36a164
<Select>
@@ -32396,13 +32379,6 @@
Medium
High
-
- choice_list_56c426e3
- <Select>
- in
- out
- inout
-
choice_list_56e9f994
<Select>
@@ -32564,6 +32540,10 @@
MIO 52
MIO 53
+
+ choice_list_6a48f1e0
+ MIO
+
choice_list_6bc4d474
LVCMOS 3.3V
@@ -32573,11 +32553,6 @@
Active High
Active Low
-
- choice_list_7275faaa
- <Select>
- LVCMOS 3.3V
-
choice_list_72f3e128
LVCMOS 1.8V
@@ -32589,6 +32564,10 @@
choice_list_767f870c
External
+
+ choice_list_796e10af
+ MIO 19
+
choice_list_7abc2131
16 Bit
@@ -33033,12 +33012,6 @@
16
32
-
- choice_list_ce8c471b
- <Select>
- disabled
- enabled
-
choice_list_d0304fb3
0xE0009000
@@ -33859,7 +33832,7 @@
- false
+ true
@@ -33871,7 +33844,7 @@
- false
+ true
@@ -34071,11 +34044,11 @@
PCW_FCLK_CLK0_BUF
PCW FCLK CLK0 BUF
- TRUE
+ FALSE
- true
+ false
@@ -34119,7 +34092,7 @@
PCW_UIPARAM_DDR_FREQ_MHZ
PCW UIPARAM DDR FREQ MHZ
- 533.333333
+ 350
PCW_UIPARAM_DDR_BANK_ADDR_COUNT
@@ -34519,7 +34492,7 @@
PCW_APU_PERIPHERAL_FREQMHZ
PCW APU PERIPHERAL FREQMHZ
- 666.666666
+ 400
PCW_DCI_PERIPHERAL_FREQMHZ
@@ -34780,17 +34753,17 @@
PCW_ACT_APU_PERIPHERAL_FREQMHZ
PCW ACT APU PERIPHERAL FREQMHZ
- 666.666687
+ 400.000000
PCW_UIPARAM_ACT_DDR_FREQ_MHZ
PCW UIPARAM ACT DDR FREQ MHZ
- 533.333374
+ 350.000000
PCW_ACT_DCI_PERIPHERAL_FREQMHZ
PCW ACT DCI PERIPHERAL FREQMHZ
- 10.158730
+ 10.144927
PCW_ACT_QSPI_PERIPHERAL_FREQMHZ
@@ -34860,7 +34833,7 @@
PCW_ACT_WDT_PERIPHERAL_FREQMHZ
PCW ACT WDT PERIPHERAL FREQMHZ
- 111.111115
+ 66.666672
PCW_ACT_TTC_PERIPHERAL_FREQMHZ
@@ -34880,7 +34853,7 @@
PCW_ACT_FPGA0_PERIPHERAL_FREQMHZ
PCW ACT FPGA0 PERIPHERAL FREQMHZ
- 50.000000
+ 10.000000
PCW_ACT_FPGA1_PERIPHERAL_FREQMHZ
@@ -34900,37 +34873,37 @@
PCW_ACT_TTC0_CLK0_PERIPHERAL_FREQMHZ
PCW ACT TTC0 CLK0 PERIPHERAL FREQMHZ
- 111.111115
+ 66.666672
PCW_ACT_TTC0_CLK1_PERIPHERAL_FREQMHZ
PCW ACT TTC0 CLK1 PERIPHERAL FREQMHZ
- 111.111115
+ 66.666672
PCW_ACT_TTC0_CLK2_PERIPHERAL_FREQMHZ
PCW ACT TTC0 CLK2 PERIPHERAL FREQMHZ
- 111.111115
+ 66.666672
PCW_ACT_TTC1_CLK0_PERIPHERAL_FREQMHZ
PCW ACT TTC1 CLK0 PERIPHERAL FREQMHZ
- 111.111115
+ 66.666672
PCW_ACT_TTC1_CLK1_PERIPHERAL_FREQMHZ
PCW ACT TTC1 CLK1 PERIPHERAL FREQMHZ
- 111.111115
+ 66.666672
PCW_ACT_TTC1_CLK2_PERIPHERAL_FREQMHZ
PCW ACT TTC1 CLK2 PERIPHERAL FREQMHZ
- 111.111115
+ 66.666672
PCW_CLK0_FREQ
PCW CLK0 FREQ
- 50000000
+ 10000000
PCW_CLK1_FREQ
@@ -34955,7 +34928,7 @@
PCW_CPU_PERIPHERAL_DIVISOR0
CLKPARAM
- 2
+ 4
@@ -34967,7 +34940,7 @@
PCW_DDR_PERIPHERAL_DIVISOR0
CLKPARAM
- 2
+ 4
@@ -35063,7 +35036,7 @@
PCW_FCLK0_PERIPHERAL_DIVISOR0
CLKPARAM
- 5
+ 1
@@ -35111,7 +35084,7 @@
PCW_FCLK0_PERIPHERAL_DIVISOR1
CLKPARAM
- 4
+ 1
@@ -35219,7 +35192,7 @@
PCW_DCI_PERIPHERAL_DIVISOR0
CLKPARAM
- 15
+ 46
@@ -35231,7 +35204,7 @@
PCW_DCI_PERIPHERAL_DIVISOR1
CLKPARAM
- 7
+ 3
@@ -35290,7 +35263,7 @@
PCW_ARMPLL_CTRL_FBDIV
CLKPARAM
- 40
+ 48
@@ -35314,7 +35287,7 @@
PCW_DDRPLL_CTRL_FBDIV
CLKPARAM
- 32
+ 42
@@ -35326,7 +35299,7 @@
PCW_CPU_CPU_PLL_FREQMHZ
CLKPARAM
- 1333.333
+ 1600.000
@@ -35350,7 +35323,7 @@
PCW_DDR_DDR_PLL_FREQMHZ
CLKPARAM
- 1066.667
+ 1400.000
@@ -36302,7 +36275,7 @@
PCW_EN_GPIO
PCW EN GPIO
- 0
+ 1
PCW_EN_I2C0
@@ -36412,7 +36385,7 @@
PCW_EN_CLK0_PORT
PCW EN CLK0 PORT
- 1
+ 0
@@ -36461,7 +36434,7 @@
PCW_EN_RST0_PORT
PCW EN RST0 PORT
Enables general purpose reset signal 0 for PL logic
- 1
+ 0
@@ -38049,12 +38022,12 @@
PCW_SPI0_GRP_SS1_ENABLE
- 0
+ 1
PCW_SPI0_GRP_SS1_IO
PCW SPI0 GRP SS1 IO
- <Select>
+ MIO 19
@@ -38065,12 +38038,12 @@
PCW_SPI0_GRP_SS2_ENABLE
- 0
+ 1
PCW_SPI0_GRP_SS2_IO
PCW SPI0 GRP SS2 IO
- <Select>
+ MIO 20
@@ -38470,13 +38443,6 @@
PCW_USB_RESET_ENABLE
0
-
-
-
- false
-
-
-
PCW_USB_RESET_SELECT
@@ -38655,13 +38621,6 @@
PCW_I2C_RESET_ENABLE
0
-
-
-
- false
-
-
-
PCW_I2C_RESET_SELECT
@@ -38703,19 +38662,12 @@
PCW_GPIO_MIO_GPIO_ENABLE
- 0
+ 1
PCW_GPIO_MIO_GPIO_IO
PCW GPIO MIO GPIO IO
- <Select>
-
-
-
- false
-
-
-
+ MIO
PCW_GPIO_EMIO_GPIO_ENABLE
@@ -38911,31 +38863,17 @@
PCW_MIO_0_PULLUP
PCW MIO 0 PULLUP
- <Select>
-
-
-
- false
-
-
-
+ enabled
PCW_MIO_0_IOTYPE
PCW MIO 0 IOTYPE
- <Select>
-
-
-
- false
-
-
-
+ LVCMOS 3.3V
PCW_MIO_0_DIRECTION
PCW MIO 0 DIRECTION
- <Select>
+ inout
@@ -38947,43 +38885,22 @@
PCW_MIO_0_SLEW
PCW MIO 0 SLEW
- <Select>
-
-
-
- false
-
-
-
+ slow
PCW_MIO_1_PULLUP
PCW MIO 1 PULLUP
- <Select>
-
-
-
- false
-
-
-
+ enabled
PCW_MIO_1_IOTYPE
PCW MIO 1 IOTYPE
- <Select>
-
-
-
- false
-
-
-
+ LVCMOS 3.3V
PCW_MIO_1_DIRECTION
PCW MIO 1 DIRECTION
- <Select>
+ inout
@@ -38995,19 +38912,12 @@
PCW_MIO_1_SLEW
PCW MIO 1 SLEW
- <Select>
-
-
-
- false
-
-
-
+ slow
PCW_MIO_2_PULLUP
PCW MIO 2 PULLUP
- <Select>
+ disabled
@@ -39019,19 +38929,12 @@
PCW_MIO_2_IOTYPE
PCW MIO 2 IOTYPE
- <Select>
-
-
-
- false
-
-
-
+ LVCMOS 3.3V
PCW_MIO_2_DIRECTION
PCW MIO 2 DIRECTION
- <Select>
+ inout
@@ -39043,19 +38946,12 @@
PCW_MIO_2_SLEW
PCW MIO 2 SLEW
- <Select>
-
-
-
- false
-
-
-
+ slow
PCW_MIO_3_PULLUP
PCW MIO 3 PULLUP
- <Select>
+ disabled
@@ -39067,19 +38963,12 @@
PCW_MIO_3_IOTYPE
PCW MIO 3 IOTYPE
- <Select>
-
-
-
- false
-
-
-
+ LVCMOS 3.3V
PCW_MIO_3_DIRECTION
PCW MIO 3 DIRECTION
- <Select>
+ inout
@@ -39091,19 +38980,12 @@
PCW_MIO_3_SLEW
PCW MIO 3 SLEW
- <Select>
-
-
-
- false
-
-
-
+ slow
PCW_MIO_4_PULLUP
PCW MIO 4 PULLUP
- <Select>
+ disabled
@@ -39115,19 +38997,12 @@
PCW_MIO_4_IOTYPE
PCW MIO 4 IOTYPE
- <Select>
-
-
-
- false
-
-
-
+ LVCMOS 3.3V
PCW_MIO_4_DIRECTION
PCW MIO 4 DIRECTION
- <Select>
+ inout
@@ -39139,19 +39014,12 @@
PCW_MIO_4_SLEW
PCW MIO 4 SLEW
- <Select>
-
-
-
- false
-
-
-
+ slow
PCW_MIO_5_PULLUP
PCW MIO 5 PULLUP
- <Select>
+ disabled
@@ -39163,19 +39031,12 @@
PCW_MIO_5_IOTYPE
PCW MIO 5 IOTYPE
- <Select>
-
-
-
- false
-
-
-
+ LVCMOS 3.3V
PCW_MIO_5_DIRECTION
PCW MIO 5 DIRECTION
- <Select>
+ inout
@@ -39187,19 +39048,12 @@
PCW_MIO_5_SLEW
PCW MIO 5 SLEW
- <Select>
-
-
-
- false
-
-
-
+ slow
PCW_MIO_6_PULLUP
PCW MIO 6 PULLUP
- <Select>
+ disabled
@@ -39211,19 +39065,12 @@
PCW_MIO_6_IOTYPE
PCW MIO 6 IOTYPE
- <Select>
-
-
-
- false
-
-
-
+ LVCMOS 3.3V
PCW_MIO_6_DIRECTION
PCW MIO 6 DIRECTION
- <Select>
+ inout
@@ -39235,19 +39082,12 @@
PCW_MIO_6_SLEW
PCW MIO 6 SLEW
- <Select>
-
-
-
- false
-
-
-
+ slow
PCW_MIO_7_PULLUP
PCW MIO 7 PULLUP
- <Select>
+ disabled
@@ -39259,19 +39099,12 @@
PCW_MIO_7_IOTYPE
PCW MIO 7 IOTYPE
- <Select>
-
-
-
- false
-
-
-
+ LVCMOS 3.3V
PCW_MIO_7_DIRECTION
PCW MIO 7 DIRECTION
- <Select>
+ out
@@ -39283,19 +39116,12 @@
PCW_MIO_7_SLEW
PCW MIO 7 SLEW
- <Select>
-
-
-
- false
-
-
-
+ slow
PCW_MIO_8_PULLUP
PCW MIO 8 PULLUP
- <Select>
+ disabled
@@ -39307,19 +39133,12 @@
PCW_MIO_8_IOTYPE
PCW MIO 8 IOTYPE
- <Select>
-
-
-
- false
-
-
-
+ LVCMOS 3.3V
PCW_MIO_8_DIRECTION
PCW MIO 8 DIRECTION
- <Select>
+ out
@@ -39331,43 +39150,22 @@
PCW_MIO_8_SLEW
PCW MIO 8 SLEW
- <Select>
-
-
-
- false
-
-
-
+ slow
PCW_MIO_9_PULLUP
PCW MIO 9 PULLUP
- <Select>
-
-
-
- false
-
-
-
+ enabled
PCW_MIO_9_IOTYPE
PCW MIO 9 IOTYPE
- <Select>
-
-
-
- false
-
-
-
+ LVCMOS 3.3V
PCW_MIO_9_DIRECTION
PCW MIO 9 DIRECTION
- <Select>
+ inout
@@ -39379,43 +39177,22 @@
PCW_MIO_9_SLEW
PCW MIO 9 SLEW
- <Select>
-
-
-
- false
-
-
-
+ slow
PCW_MIO_10_PULLUP
PCW MIO 10 PULLUP
- <Select>
-
-
-
- false
-
-
-
+ enabled
PCW_MIO_10_IOTYPE
PCW MIO 10 IOTYPE
- <Select>
-
-
-
- false
-
-
-
+ LVCMOS 3.3V
PCW_MIO_10_DIRECTION
PCW MIO 10 DIRECTION
- <Select>
+ inout
@@ -39427,43 +39204,22 @@
PCW_MIO_10_SLEW
PCW MIO 10 SLEW
- <Select>
-
-
-
- false
-
-
-
+ slow
PCW_MIO_11_PULLUP
PCW MIO 11 PULLUP
- <Select>
-
-
-
- false
-
-
-
+ enabled
PCW_MIO_11_IOTYPE
PCW MIO 11 IOTYPE
- <Select>
-
-
-
- false
-
-
-
+ LVCMOS 3.3V
PCW_MIO_11_DIRECTION
PCW MIO 11 DIRECTION
- <Select>
+ inout
@@ -39475,14 +39231,7 @@
PCW_MIO_11_SLEW
PCW MIO 11 SLEW
- <Select>
-
-
-
- false
-
-
-
+ slow
PCW_MIO_12_PULLUP
@@ -39541,31 +39290,17 @@
PCW_MIO_14_PULLUP
PCW MIO 14 PULLUP
- <Select>
-
-
-
- false
-
-
-
+ enabled
PCW_MIO_14_IOTYPE
PCW MIO 14 IOTYPE
- <Select>
-
-
-
- false
-
-
-
+ LVCMOS 3.3V
PCW_MIO_14_DIRECTION
PCW MIO 14 DIRECTION
- <Select>
+ inout
@@ -39577,43 +39312,22 @@
PCW_MIO_14_SLEW
PCW MIO 14 SLEW
- <Select>
-
-
-
- false
-
-
-
+ slow
PCW_MIO_15_PULLUP
PCW MIO 15 PULLUP
- <Select>
-
-
-
- false
-
-
-
+ enabled
PCW_MIO_15_IOTYPE
PCW MIO 15 IOTYPE
- <Select>
-
-
-
- false
-
-
-
+ LVCMOS 3.3V
PCW_MIO_15_DIRECTION
PCW MIO 15 DIRECTION
- <Select>
+ inout
@@ -39625,14 +39339,7 @@
PCW_MIO_15_SLEW
PCW MIO 15 SLEW
- <Select>
-
-
-
- false
-
-
-
+ slow
PCW_MIO_16_PULLUP
@@ -39718,31 +39425,17 @@
PCW_MIO_19_PULLUP
PCW MIO 19 PULLUP
- <Select>
-
-
-
- false
-
-
-
+ enabled
PCW_MIO_19_IOTYPE
PCW MIO 19 IOTYPE
- <Select>
-
-
-
- false
-
-
-
+ LVCMOS 3.3V
PCW_MIO_19_DIRECTION
PCW MIO 19 DIRECTION
- <Select>
+ out
@@ -39754,43 +39447,22 @@
PCW_MIO_19_SLEW
PCW MIO 19 SLEW
- <Select>
-
-
-
- false
-
-
-
+ slow
PCW_MIO_20_PULLUP
PCW MIO 20 PULLUP
- <Select>
-
-
-
- false
-
-
-
+ enabled
PCW_MIO_20_IOTYPE
PCW MIO 20 IOTYPE
- <Select>
-
-
-
- false
-
-
-
+ LVCMOS 3.3V
PCW_MIO_20_DIRECTION
PCW MIO 20 DIRECTION
- <Select>
+ out
@@ -39802,14 +39474,7 @@
PCW_MIO_20_SLEW
PCW MIO 20 SLEW
- <Select>
-
-
-
- false
-
-
-
+ slow
PCW_MIO_21_PULLUP
@@ -39841,31 +39506,17 @@
PCW_MIO_22_PULLUP
PCW MIO 22 PULLUP
- <Select>
-
-
-
- false
-
-
-
+ enabled
PCW_MIO_22_IOTYPE
PCW MIO 22 IOTYPE
- <Select>
-
-
-
- false
-
-
-
+ LVCMOS 3.3V
PCW_MIO_22_DIRECTION
PCW MIO 22 DIRECTION
- <Select>
+ inout
@@ -39877,43 +39528,22 @@
PCW_MIO_22_SLEW
PCW MIO 22 SLEW
- <Select>
-
-
-
- false
-
-
-
+ slow
PCW_MIO_23_PULLUP
PCW MIO 23 PULLUP
- <Select>
-
-
-
- false
-
-
-
+ enabled
PCW_MIO_23_IOTYPE
PCW MIO 23 IOTYPE
- <Select>
-
-
-
- false
-
-
-
+ LVCMOS 3.3V
PCW_MIO_23_DIRECTION
PCW MIO 23 DIRECTION
- <Select>
+ inout
@@ -39925,43 +39555,22 @@
PCW_MIO_23_SLEW
PCW MIO 23 SLEW
- <Select>
-
-
-
- false
-
-
-
+ slow
PCW_MIO_24_PULLUP
PCW MIO 24 PULLUP
- <Select>
-
-
-
- false
-
-
-
+ enabled
PCW_MIO_24_IOTYPE
PCW MIO 24 IOTYPE
- <Select>
-
-
-
- false
-
-
-
+ LVCMOS 3.3V
PCW_MIO_24_DIRECTION
PCW MIO 24 DIRECTION
- <Select>
+ inout
@@ -39973,43 +39582,22 @@
PCW_MIO_24_SLEW
PCW MIO 24 SLEW
- <Select>
-
-
-
- false
-
-
-
+ slow
PCW_MIO_25_PULLUP
PCW MIO 25 PULLUP
- <Select>
-
-
-
- false
-
-
-
+ enabled
PCW_MIO_25_IOTYPE
PCW MIO 25 IOTYPE
- <Select>
-
-
-
- false
-
-
-
+ LVCMOS 3.3V
PCW_MIO_25_DIRECTION
PCW MIO 25 DIRECTION
- <Select>
+ inout
@@ -40021,43 +39609,22 @@
PCW_MIO_25_SLEW
PCW MIO 25 SLEW
- <Select>
-
-
-
- false
-
-
-
+ slow
PCW_MIO_26_PULLUP
PCW MIO 26 PULLUP
- <Select>
-
-
-
- false
-
-
-
+ enabled
PCW_MIO_26_IOTYPE
PCW MIO 26 IOTYPE
- <Select>
-
-
-
- false
-
-
-
+ LVCMOS 3.3V
PCW_MIO_26_DIRECTION
PCW MIO 26 DIRECTION
- <Select>
+ inout
@@ -40069,43 +39636,22 @@
PCW_MIO_26_SLEW
PCW MIO 26 SLEW
- <Select>
-
-
-
- false
-
-
-
+ slow
PCW_MIO_27_PULLUP
PCW MIO 27 PULLUP
- <Select>
-
-
-
- false
-
-
-
+ enabled
PCW_MIO_27_IOTYPE
PCW MIO 27 IOTYPE
- <Select>
-
-
-
- false
-
-
-
+ LVCMOS 3.3V
PCW_MIO_27_DIRECTION
PCW MIO 27 DIRECTION
- <Select>
+ inout
@@ -40117,43 +39663,22 @@
PCW_MIO_27_SLEW
PCW MIO 27 SLEW
- <Select>
-
-
-
- false
-
-
-
+ slow
PCW_MIO_28_PULLUP
PCW MIO 28 PULLUP
- <Select>
-
-
-
- false
-
-
-
+ enabled
PCW_MIO_28_IOTYPE
PCW MIO 28 IOTYPE
- <Select>
-
-
-
- false
-
-
-
+ LVCMOS 3.3V
PCW_MIO_28_DIRECTION
PCW MIO 28 DIRECTION
- <Select>
+ inout
@@ -40165,43 +39690,22 @@
PCW_MIO_28_SLEW
PCW MIO 28 SLEW
- <Select>
-
-
-
- false
-
-
-
+ slow
PCW_MIO_29_PULLUP
PCW MIO 29 PULLUP
- <Select>
-
-
-
- false
-
-
-
+ enabled
PCW_MIO_29_IOTYPE
PCW MIO 29 IOTYPE
- <Select>
-
-
-
- false
-
-
-
+ LVCMOS 3.3V
PCW_MIO_29_DIRECTION
PCW MIO 29 DIRECTION
- <Select>
+ inout
@@ -40213,43 +39717,22 @@
PCW_MIO_29_SLEW
PCW MIO 29 SLEW
- <Select>
-
-
-
- false
-
-
-
+ slow
PCW_MIO_30_PULLUP
PCW MIO 30 PULLUP
- <Select>
-
-
-
- false
-
-
-
+ enabled
PCW_MIO_30_IOTYPE
PCW MIO 30 IOTYPE
- <Select>
-
-
-
- false
-
-
-
+ LVCMOS 3.3V
PCW_MIO_30_DIRECTION
PCW MIO 30 DIRECTION
- <Select>
+ inout
@@ -40261,43 +39744,22 @@
PCW_MIO_30_SLEW
PCW MIO 30 SLEW
- <Select>
-
-
-
- false
-
-
-
+ slow
PCW_MIO_31_PULLUP
PCW MIO 31 PULLUP
- <Select>
-
-
-
- false
-
-
-
+ enabled
PCW_MIO_31_IOTYPE
PCW MIO 31 IOTYPE
- <Select>
-
-
-
- false
-
-
-
+ LVCMOS 3.3V
PCW_MIO_31_DIRECTION
PCW MIO 31 DIRECTION
- <Select>
+ inout
@@ -40309,43 +39771,22 @@
PCW_MIO_31_SLEW
PCW MIO 31 SLEW
- <Select>
-
-
-
- false
-
-
-
+ slow
PCW_MIO_32_PULLUP
PCW MIO 32 PULLUP
- <Select>
-
-
-
- false
-
-
-
+ enabled
PCW_MIO_32_IOTYPE
PCW MIO 32 IOTYPE
- <Select>
-
-
-
- false
-
-
-
+ LVCMOS 3.3V
PCW_MIO_32_DIRECTION
PCW MIO 32 DIRECTION
- <Select>
+ inout
@@ -40357,43 +39798,22 @@
PCW_MIO_32_SLEW
PCW MIO 32 SLEW
- <Select>
-
-
-
- false
-
-
-
+ slow
PCW_MIO_33_PULLUP
PCW MIO 33 PULLUP
- <Select>
-
-
-
- false
-
-
-
+ enabled
PCW_MIO_33_IOTYPE
PCW MIO 33 IOTYPE
- <Select>
-
-
-
- false
-
-
-
+ LVCMOS 3.3V
PCW_MIO_33_DIRECTION
PCW MIO 33 DIRECTION
- <Select>
+ inout
@@ -40405,43 +39825,22 @@
PCW_MIO_33_SLEW
PCW MIO 33 SLEW
- <Select>
-
-
-
- false
-
-
-
+ slow
PCW_MIO_34_PULLUP
PCW MIO 34 PULLUP
- <Select>
-
-
-
- false
-
-
-
+ enabled
PCW_MIO_34_IOTYPE
PCW MIO 34 IOTYPE
- <Select>
-
-
-
- false
-
-
-
+ LVCMOS 3.3V
PCW_MIO_34_DIRECTION
PCW MIO 34 DIRECTION
- <Select>
+ inout
@@ -40453,43 +39852,22 @@
PCW_MIO_34_SLEW
PCW MIO 34 SLEW
- <Select>
-
-
-
- false
-
-
-
+ slow
PCW_MIO_35_PULLUP
PCW MIO 35 PULLUP
- <Select>
-
-
-
- false
-
-
-
+ enabled
PCW_MIO_35_IOTYPE
PCW MIO 35 IOTYPE
- <Select>
-
-
-
- false
-
-
-
+ LVCMOS 3.3V
PCW_MIO_35_DIRECTION
PCW MIO 35 DIRECTION
- <Select>
+ inout
@@ -40501,43 +39879,22 @@
PCW_MIO_35_SLEW
PCW MIO 35 SLEW
- <Select>
-
-
-
- false
-
-
-
+ slow
PCW_MIO_36_PULLUP
PCW MIO 36 PULLUP
- <Select>
-
-
-
- false
-
-
-
+ enabled
PCW_MIO_36_IOTYPE
PCW MIO 36 IOTYPE
- <Select>
-
-
-
- false
-
-
-
+ LVCMOS 3.3V
PCW_MIO_36_DIRECTION
PCW MIO 36 DIRECTION
- <Select>
+ inout
@@ -40549,43 +39906,22 @@
PCW_MIO_36_SLEW
PCW MIO 36 SLEW
- <Select>
-
-
-
- false
-
-
-
+ slow
PCW_MIO_37_PULLUP
PCW MIO 37 PULLUP
- <Select>
-
-
-
- false
-
-
-
+ enabled
PCW_MIO_37_IOTYPE
PCW MIO 37 IOTYPE
- <Select>
-
-
-
- false
-
-
-
+ LVCMOS 3.3V
PCW_MIO_37_DIRECTION
PCW MIO 37 DIRECTION
- <Select>
+ inout
@@ -40597,43 +39933,22 @@
PCW_MIO_37_SLEW
PCW MIO 37 SLEW
- <Select>
-
-
-
- false
-
-
-
+ slow
PCW_MIO_38_PULLUP
PCW MIO 38 PULLUP
- <Select>
-
-
-
- false
-
-
-
+ enabled
PCW_MIO_38_IOTYPE
PCW MIO 38 IOTYPE
- <Select>
-
-
-
- false
-
-
-
+ LVCMOS 3.3V
PCW_MIO_38_DIRECTION
PCW MIO 38 DIRECTION
- <Select>
+ inout
@@ -40645,43 +39960,22 @@
PCW_MIO_38_SLEW
PCW MIO 38 SLEW
- <Select>
-
-