#----------------------------------------------------------- # Vivado v2022.2 (64-bit) # SW Build 3671981 on Fri Oct 14 05:00:03 MDT 2022 # IP Build 3669848 on Fri Oct 14 08:30:02 MDT 2022 # Start of session at: Fri Jan 6 15:38:00 2023 # Process ID: 22772 # Current directory: D:/project/hdl/ebaz4205_adc_test.git # Command line: vivado.exe -gui_launcher_event rodinguilauncherevent11156 D:\project\hdl\ebaz4205_adc_test.git\axi_dma.xpr # Log file: D:/project/hdl/ebaz4205_adc_test.git/vivado.log # Journal file: D:/project/hdl/ebaz4205_adc_test.git\vivado.jou # Running On: home-pc, OS: Windows, CPU Frequency: 3593 MHz, CPU Physical cores: 12, Host memory: 17088 MB #----------------------------------------------------------- start_gui open_project D:/project/hdl/ebaz4205_adc_test.git/axi_dma.xpr update_compile_order -fileset sources_1 open_bd_design {D:/project/hdl/ebaz4205_adc_test.git/axi_dma.srcs/sources_1/bd/design_1/design_1.bd} make_wrapper -files [get_files D:/project/hdl/ebaz4205_adc_test.git/axi_dma.srcs/sources_1/bd/design_1/design_1.bd] -top update_compile_order -fileset sources_1 generate_target all [get_files D:/project/hdl/ebaz4205_adc_test.git/axi_dma.srcs/sources_1/bd/design_1/design_1.bd] catch { config_ip_cache -export [get_ips -all design_1_rst_ps7_0_100M_0] } catch { config_ip_cache -export [get_ips -all design_1_axi_dma_0_0] } catch { config_ip_cache -export [get_ips -all design_1_axi_smc_1] } catch { config_ip_cache -export [get_ips -all design_1_clk_wiz_0_0] } catch { config_ip_cache -export [get_ips -all design_1_ila_0_0] } catch { config_ip_cache -export [get_ips -all design_1_ila_1_0] } catch { config_ip_cache -export [get_ips -all design_1_ila_2_0] } catch { config_ip_cache -export [get_ips -all design_1_ila_3_0] } catch { config_ip_cache -export [get_ips -all design_1_adc_capture_module_0_0] } catch { config_ip_cache -export [get_ips -all design_1_auto_pc_0] } export_ip_user_files -of_objects [get_files D:/project/hdl/ebaz4205_adc_test.git/axi_dma.srcs/sources_1/bd/design_1/design_1.bd] -no_script -sync -force -quiet create_ip_run [get_files -of_objects [get_fileset sources_1] D:/project/hdl/ebaz4205_adc_test.git/axi_dma.srcs/sources_1/bd/design_1/design_1.bd] launch_runs design_1_processing_system7_0_0_synth_1 design_1_clk_wiz_0_0_synth_1 design_1_ila_3_0_synth_1 design_1_adc_capture_module_0_0_synth_1 design_1_rst_ps7_0_100M_0_synth_1 design_1_axi_dma_0_0_synth_1 design_1_axi_smc_1_synth_1 design_1_ila_0_0_synth_1 design_1_ila_1_0_synth_1 design_1_ila_2_0_synth_1 design_1_auto_pc_0_synth_1 -jobs 6 wait_on_run design_1_processing_system7_0_0_synth_1 wait_on_run design_1_clk_wiz_0_0_synth_1 wait_on_run design_1_ila_3_0_synth_1 wait_on_run design_1_adc_capture_module_0_0_synth_1 wait_on_run design_1_rst_ps7_0_100M_0_synth_1 wait_on_run design_1_axi_dma_0_0_synth_1 wait_on_run design_1_axi_smc_1_synth_1 wait_on_run design_1_ila_0_0_synth_1 wait_on_run design_1_ila_1_0_synth_1 wait_on_run design_1_ila_2_0_synth_1 wait_on_run design_1_auto_pc_0_synth_1 export_simulation -of_objects [get_files D:/project/hdl/ebaz4205_adc_test.git/axi_dma.srcs/sources_1/bd/design_1/design_1.bd] -directory D:/project/hdl/ebaz4205_adc_test.git/axi_dma.ip_user_files/sim_scripts -ip_user_files_dir D:/project/hdl/ebaz4205_adc_test.git/axi_dma.ip_user_files -ipstatic_source_dir D:/project/hdl/ebaz4205_adc_test.git/axi_dma.ip_user_files/ipstatic -lib_map_path [list {modelsim=D:/project/hdl/ebaz4205_adc_test.git/axi_dma.cache/compile_simlib/modelsim} {questa=D:/project/hdl/ebaz4205_adc_test.git/axi_dma.cache/compile_simlib/questa} {riviera=D:/project/hdl/ebaz4205_adc_test.git/axi_dma.cache/compile_simlib/riviera} {activehdl=D:/project/hdl/ebaz4205_adc_test.git/axi_dma.cache/compile_simlib/activehdl}] -use_ip_compiled_libs -force -quiet