documentation

pull/1/head v1.0
panhongyang 2023-02-05 19:00:49 +08:00
parent 43d55682da
commit 89137f5077
1 changed files with 3 additions and 6 deletions

View File

@ -5,11 +5,10 @@ All commands
----------------------------------
Input:
.. code-block:: bash
::
help
Output:
::
Verification commands:
@ -40,9 +39,7 @@ Synthesis of EPFL benchmarks
In the following example, we show how `phyLS` can be used to synthesize a EPFL benchamrk.
Input:
.. code-block:: bash
::
read_aiger ~/phyLS/benchmarks/adder.aig
ps -a
resub // any synthesis commands