pluto_hdl_adi/library/axi_ad9963/axi_ad9963_constr.xdc

7 lines
410 B
Plaintext
Raw Permalink Normal View History

###############################################################################
## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved.
### SPDX short identifier: ADIBSD
###############################################################################
set_false_path -from [get_cells -hier -filter {name =~ *up_*clk_enb* && IS_SEQUENTIAL}] -to [get_pins -hier -filter {name =~ *bufgctrl*/S0}]