pluto_hdl_adi/projects/daq2/zcu102/system_project.tcl

43 lines
1.5 KiB
Tcl
Raw Permalink Normal View History

###############################################################################
## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved.
### SPDX short identifier: ADIBSD
###############################################################################
source ../../../scripts/adi_env.tcl
source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl
2016-09-30 14:50:10 +00:00
source $ad_hdl_dir/projects/scripts/adi_board.tcl
# get_env_param retrieves parameter value from the environment if exists,
# other case use the default value
#
# Use over-writable parameters from the environment.
#
# e.g.
# make RX_JESD_L=4 RX_JESD_M=2 TX_JESD_L=4 TX_JESD_M=2
# Parameter description:
# [RX/TX]_JESD_M : Number of converters per link
# [RX/TX]_JESD_L : Number of lanes per link
# [RX/TX]_JESD_S : Number of samples per frame
adi_project daq2_zcu102 0 [list \
RX_JESD_M [get_env_param RX_JESD_M 2 ] \
RX_JESD_L [get_env_param RX_JESD_L 4 ] \
RX_JESD_S [get_env_param RX_JESD_S 1 ] \
TX_JESD_M [get_env_param TX_JESD_M 2 ] \
TX_JESD_L [get_env_param TX_JESD_L 4 ] \
TX_JESD_S [get_env_param TX_JESD_S 1 ] \
]
2016-09-30 15:54:15 +00:00
adi_project_files daq2_zcu102 [list \
2016-09-30 14:50:10 +00:00
"../common/daq2_spi.v" \
"system_top.v" \
"system_constr.xdc"\
"$ad_hdl_dir/library/common/ad_iobuf.v" \
2016-09-30 15:54:15 +00:00
"$ad_hdl_dir/projects/common/zcu102/zcu102_system_constr.xdc" ]
2016-09-30 14:50:10 +00:00
2016-09-30 15:54:15 +00:00
adi_project_run daq2_zcu102
2016-09-30 14:50:10 +00:00
## To improve timing in the axi_ad9680_offload component
set_property strategy Performance_Retiming [get_runs impl_1]