pluto_hdl_adi/projects/adrv9364z7020/ccbob_lvds/system_project.tcl

21 lines
813 B
Tcl
Raw Normal View History

###############################################################################
## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved.
### SPDX short identifier: ADIBSD
###############################################################################
source ../../../scripts/adi_env.tcl
source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl
source $ad_hdl_dir/projects/scripts/adi_board.tcl
2016-01-25 21:07:33 +00:00
adi_project_create adrv9364z7020_ccbob_lvds 0 {} "xc7z020clg400-1"
adi_project_files adrv9364z7020_ccbob_lvds [list \
"$ad_hdl_dir/library/common/ad_iobuf.v" \
"../common/adrv9364z7020_constr.xdc" \
"../common/adrv9364z7020_constr_lvds.xdc" \
"../common/ccbob_constr.xdc" \
2016-11-17 20:32:25 +00:00
"system_top.v" ]
2016-01-25 21:07:33 +00:00
adi_project_run adrv9364z7020_ccbob_lvds
source $ad_hdl_dir/library/axi_ad9361/axi_ad9361_delay.tcl
2016-01-25 21:07:33 +00:00