pluto_hdl_adi/library/axi_ad9122/axi_ad9122_constr.xdc

4 lines
174 B
Plaintext
Raw Normal View History

2017-02-02 19:21:41 +00:00
set_false_path -from [get_cells -hier -filter {name =~ *up_drp_locked_reg && IS_SEQUENTIAL}] \
-to [get_cells -hier -filter {name =~ *dac_status_m1_reg && IS_SEQUENTIAL}]