pluto_hdl_adi/library/axi_ad9152/axi_ad9152_constr.xdc

7 lines
172 B
Plaintext
Raw Normal View History

2014-10-03 15:05:09 +00:00
set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports tx_clk]]
set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports s_axi_aclk]]