pluto_hdl_adi/library/axi_fifo2f/axi_fifo2f_constr.xdc

7 lines
170 B
Plaintext
Raw Normal View History

2014-10-28 20:46:00 +00:00
set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports adc_clk]]
set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports dma_clk]]