pluto_hdl_adi/projects/adrv9009zu11eg/adrv2crr_fmcxmwbr1/system_project.tcl

34 lines
1.3 KiB
Tcl
Raw Normal View History

###############################################################################
## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved.
### SPDX short identifier: ADIBSD
###############################################################################
source ../../../scripts/adi_env.tcl
2022-02-14 11:39:42 +00:00
source $ad_hdl_dir/projects/scripts/adi_project_xilinx.tcl
source $ad_hdl_dir/projects/scripts/adi_board.tcl
adi_project_create adrv9009zu11eg_fmcxmwbr1 0 [list \
RX_JESD_M [get_env_param RX_JESD_M 8] \
RX_JESD_L [get_env_param RX_JESD_L 4] \
RX_JESD_S [get_env_param RX_JESD_S 1] \
TX_JESD_M [get_env_param TX_JESD_M 8] \
TX_JESD_L [get_env_param TX_JESD_L 8] \
TX_JESD_S [get_env_param TX_JESD_S 1] \
RX_OS_JESD_M [get_env_param RX_OS_JESD_M 4] \
RX_OS_JESD_L [get_env_param RX_OS_JESD_L 4] \
RX_OS_JESD_S [get_env_param RX_OS_JESD_S 1] \
2022-02-14 11:39:42 +00:00
] "xczu11eg-ffvf1517-2-i"
adi_project_files adrv9009zu11eg_fmcxmwbr1 [list \
"system_top.v" \
"system_constr.xdc"\
"../common/adrv9009zu11eg_spi.v" \
"../common/adrv9009zu11eg_constr.xdc" \
"../common/adrv2crr_fmc_constr.xdc" \
"$ad_hdl_dir/library/common/ad_iobuf.v" ]
## To improve timing in DDR4 MIG
set_property strategy Performance_ExploreWithRemap [get_runs impl_1]
adi_project_run adrv9009zu11eg_fmcxmwbr1