pluto_hdl_adi/library/util_dacfifo/util_dacfifo_constr.xdc

33 lines
2.2 KiB
Plaintext
Raw Normal View History

2016-08-11 13:58:36 +00:00
set_property ASYNC_REG TRUE [get_cells -hierarchical -filter {name =~ *dac_waddr_m*}] \
[get_cells -hierarchical -filter {name =~ *dac_lastaddr_m*}] \
[get_cells -hierarchical -filter {name =~ *dac_xfer_out_*}] \
[get_cells -hierarchical -filter {name =~ *dma_bypass*}] \
[get_cells -hierarchical -filter {name =~ *dac_bypass*}] \
[get_cells -hierarchical -filter {name =~ *dac_xfer_req_m*}]
2016-08-11 13:58:36 +00:00
set_false_path -from [get_cells -hierarchical -filter {name =~ *dma_waddr_g* && IS_SEQUENTIAL}] \
-to [get_cells -hierarchical -filter {name =~ *dac_waddr_m1* && IS_SEQUENTIAL}]
set_false_path -from [get_cells -hierarchical -filter {name =~ *dma_lastaddr_g* && IS_SEQUENTIAL}] \
-to [get_cells -hierarchical -filter {name =~ *dac_lastaddr_m1* && IS_SEQUENTIAL}]
set_false_path -from [get_cells -hierarchical -filter {name =~ *dma_xfer_out_fifo* && IS_SEQUENTIAL}] \
-to [get_cells -hierarchical -filter {name =~ *dac_xfer_out_fifo_m1* && IS_SEQUENTIAL}]
set_false_path -to [get_cells -hierarchical -filter {name =~ *dac_bypass_m1* && IS_SEQUENTIAL}]
set_false_path -to [get_cells -hierarchical -filter {name =~ *dma_bypass_m1* && IS_SEQUENTIAL}]
2018-08-21 12:59:25 +00:00
# util_dacfifo_bypass CDC false-paths
set_property ASYNC_REG TRUE [get_cells -hierarchical -filter {name =~ *dac_mem_*_m*}] \
[get_cells -hierarchical -filter {name =~ *dma_mem_*_m*}] \
[get_cells -hierarchical -filter {name =~ *dma_rst_m1*}] \
2018-08-21 12:59:25 +00:00
set_false_path -from [get_cells -hierarchical -filter {name =~ */dma_mem_waddr_g* && IS_SEQUENTIAL}] \
-to [get_cells -hierarchical -filter {name =~ */dac_mem_waddr_m1* && IS_SEQUENTIAL}]
set_false_path -from [get_cells -hierarchical -filter {name =~ */dac_mem_raddr_g* && IS_SEQUENTIAL}] \
-to [get_cells -hierarchical -filter {name =~ */dma_mem_raddr_m1* && IS_SEQUENTIAL}]
set_false_path -to [get_cells -hierarchical -filter {name =~ */dma_rst_m1_reg && IS_SEQUENTIAL}]
set_false_path -to [get_cells -hierarchical -filter {name =~ */dac_xfer_req_m1_reg && IS_SEQUENTIAL}]
set_false_path -from [get_cells -hierarchical -filter {name =~ */dma_xfer_req* && IS_SEQUENTIAL}] \
-to [get_cells -hierarchical -filter {name =~ */dac_mem_waddr_m1* && IS_SEQUENTIAL}]
2018-08-21 12:59:25 +00:00