pluto_hdl_adi/library/axi_ad9625/axi_ad9625_constr.xdc

5 lines
170 B
Plaintext
Raw Normal View History

2014-10-10 14:34:29 +00:00
2014-10-17 17:57:30 +00:00
set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports rx_clk]]
set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports s_axi_aclk]]
2014-10-10 14:34:29 +00:00