pluto_hdl_adi/library/axi_clkgen/axi_clkgen_ip.tcl

28 lines
720 B
Tcl
Raw Normal View History

2014-02-28 19:26:22 +00:00
# ip
2014-02-28 21:58:30 +00:00
source ../scripts/adi_env.tcl
source $ad_hdl_dir/library/scripts/adi_ip.tcl
2014-02-28 19:26:22 +00:00
adi_ip_create axi_clkgen
adi_ip_files axi_clkgen [list \
2014-02-28 21:58:30 +00:00
"$ad_hdl_dir/library/common/ad_rst.v" \
"$ad_hdl_dir/library/common/ad_mmcm_drp.v" \
"$ad_hdl_dir/library/common/up_axi.v" \
"$ad_hdl_dir/library/common/up_clkgen.v" \
"axi_clkgen_constr.xdc" \
2014-02-28 19:26:22 +00:00
"axi_clkgen.v" ]
adi_ip_properties axi_clkgen
adi_ip_constraints axi_clkgen [list \
"axi_clkgen_constr.xdc" ]
2014-02-28 19:26:22 +00:00
set_property physical_name {s_axi_aclk} [ipx::get_port_map CLK \
[ipx::get_bus_interface s_axi_signal_clock [ipx::current_core]]]
ipx::remove_bus_interface {signal_clock} [ipx::current_core]
ipx::save_core [ipx::current_core]