pluto_hdl_adi/library/axi_ad9963/axi_ad9963_constr.xdc

2 lines
146 B
Plaintext
Raw Normal View History

set_false_path -from [get_cells -hier -filter {name =~ *up_*clk_enb* && IS_SEQUENTIAL}] -to [get_pins -hier -filter {name =~ *bufgctrl*/S0}]