pluto_hdl_adi/projects/fmcadc2/vc707/Makefile

71 lines
2.1 KiB
Makefile
Raw Normal View History

2015-04-01 20:26:46 +00:00
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
M_DEPS := system_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += system_bd.tcl
M_DEPS += ../../common/vc707/vc707_system_bd.tcl
M_DEPS += ../../common/xilinx/sys_dmafifo.tcl
M_DEPS += ../common/fmcadc2_bd.tcl
M_DEPS += ../../common/vc707/vc707_system_mig.prj
2015-04-07 20:32:01 +00:00
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/axi_ad9625/axi_ad9625.xpr
2015-04-07 20:32:01 +00:00
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/util_adcfifo/util_adcfifo.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/util_dacfifo/util_dacfifo.xpr
2015-04-01 20:26:46 +00:00
2015-04-07 20:32:01 +00:00
M_VIVADO := vivado -mode batch -source
2015-04-01 20:26:46 +00:00
M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += .Xil
2015-04-01 20:26:46 +00:00
.PHONY: all lib clean clean-all
2015-04-01 20:26:46 +00:00
all: lib fmcadc2_vc707.xpr
clean:
rm -rf $(M_FLIST)
2015-04-01 20:26:46 +00:00
clean-all:clean
2015-04-07 20:32:01 +00:00
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/axi_ad9625 clean
2015-04-07 20:32:01 +00:00
make -C ../../../library/util_bsplit clean
make -C ../../../library/util_adcfifo clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/util_dacfifo clean
2015-04-01 20:26:46 +00:00
fmcadc2_vc707.xpr: $(M_DEPS)
2015-04-07 20:32:01 +00:00
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> fmcadc2_vc707_vivado.log 2>&1
2015-04-01 20:26:46 +00:00
lib:
2015-04-07 20:32:01 +00:00
make -C ../../../library/axi_jesd_gt
make -C ../../../library/axi_ad9625
2015-04-07 20:32:01 +00:00
make -C ../../../library/util_bsplit
make -C ../../../library/util_adcfifo
make -C ../../../library/axi_dmac
make -C ../../../library/util_dacfifo
2015-04-01 20:26:46 +00:00
####################################################################################
####################################################################################