pluto_hdl_adi/projects/daq2/a10gx/system_constr.sdc

13 lines
473 B
Plaintext
Raw Normal View History

2015-05-11 14:17:07 +00:00
2015-06-01 14:59:33 +00:00
create_clock -period "10.000 ns" -name sys_clk_100mhz [get_ports {sys_clk}]
create_clock -period "7.500 ns" -name ddr3_ref_clk_133mhz [get_ports {ddr3_ref_clk}]
create_clock -period "8.000 ns" -name eth_ref_clk_125mhz [get_ports {eth_ref_clk}]
create_clock -period "2.000 ns" -name rx_ref_clk_500mhz [get_ports {rx_ref_clk}]
create_clock -period "2.000 ns" -name tx_ref_clk_500mhz [get_ports {tx_ref_clk}]
2015-05-11 14:17:07 +00:00
derive_pll_clocks
derive_clock_uncertainty