pluto_hdl_adi/library/util_rfifo/util_rfifo_constr.xdc

11 lines
889 B
Plaintext
Raw Normal View History

2016-05-16 14:45:33 +00:00
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *din_enable_m*}]
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *din_req_t_m*}]
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *dout_unf_m*}]
2016-05-16 14:45:33 +00:00
set_false_path -from [get_cells -hier -filter {name =~ *dout_enable* && IS_SEQUENTIAL}] -to [get_cells -hier -filter {name =~ *din_enable_m1* && IS_SEQUENTIAL}]
set_false_path -from [get_cells -hier -filter {name =~ *dout_req_t* && IS_SEQUENTIAL}] -to [get_cells -hier -filter {name =~ *din_req_t_m1* && IS_SEQUENTIAL}]
2017-07-28 20:18:54 +00:00
set_false_path -from [get_cells -hier -filter {name =~ *dout_init* && IS_SEQUENTIAL}] -to [get_cells -hier -filter {name =~ *din_init* && IS_SEQUENTIAL}]
2016-05-16 14:45:33 +00:00
set_false_path -from [get_cells -hier -filter {name =~ *din_unf* && IS_SEQUENTIAL}] -to [get_cells -hier -filter {name =~ *dout_unf_m1* && IS_SEQUENTIAL}]