pluto_hdl_adi/projects/daq2/a10gx/system_bd.qsys

576 lines
15 KiB
Plaintext
Raw Normal View History

2015-05-11 14:17:07 +00:00
<?xml version="1.0" encoding="UTF-8"?>
<system name="$${FILENAME}">
<component
name="$${FILENAME}"
displayName="$${FILENAME}"
version="1.0"
description=""
tags=""
categories="System" />
<parameter name="bonusData"><![CDATA[bonusData
{
element $${FILENAME}
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
2015-07-20 13:31:15 +00:00
element a10gx_base
{
datum _sortIndex
{
value = "1";
type = "int";
}
}
2015-07-20 13:31:15 +00:00
element a10gx_base.sys_mem_s_avl
{
2015-08-19 15:17:20 +00:00
datum _lockedAddress
{
value = "1";
type = "boolean";
}
datum baseAddress
{
2015-07-14 16:46:08 +00:00
value = "0";
type = "String";
}
}
2015-07-20 13:31:15 +00:00
element daq2
{
datum _sortIndex
{
2015-07-20 13:31:15 +00:00
value = "2";
type = "int";
}
}
2015-07-20 13:31:15 +00:00
element daq2.axi_ad9144_core_s_axi
{
datum baseAddress
{
2015-07-20 13:31:15 +00:00
value = "131072";
type = "String";
}
}
2015-07-20 13:31:15 +00:00
element daq2.axi_ad9144_dma_s_axi
{
datum baseAddress
{
2015-07-20 13:31:15 +00:00
value = "212992";
type = "String";
}
}
2015-07-20 13:31:15 +00:00
element daq2.axi_ad9680_core_s_axi
{
datum baseAddress
{
2015-07-20 13:31:15 +00:00
value = "65536";
type = "String";
}
}
2015-07-20 13:31:15 +00:00
element daq2.axi_ad9680_dma_s_axi
{
datum baseAddress
{
2015-07-20 13:31:15 +00:00
value = "196608";
type = "String";
}
}
2015-07-20 13:31:15 +00:00
element daq2.axi_jesd_xcvr_s_axi
{
datum baseAddress
{
2015-07-14 16:46:08 +00:00
value = "0";
type = "String";
}
}
2015-07-20 13:31:15 +00:00
element sys_clk
{
datum _sortIndex
{
2015-07-20 13:31:15 +00:00
value = "0";
type = "int";
}
}
2015-07-10 15:44:42 +00:00
element system_bd
{
2015-07-10 15:44:42 +00:00
datum _originalDeviceFamily
2015-07-21 15:01:45 +00:00
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
2015-07-10 15:44:42 +00:00
value = "Arria 10";
type = "String";
}
}
2015-07-10 15:44:42 +00:00
element system_bd
{
2015-07-10 15:44:42 +00:00
datum _originalDeviceFamily
{
2015-07-10 15:44:42 +00:00
value = "Arria 10";
type = "String";
}
}
2015-07-10 15:44:42 +00:00
element system_bd
{
2015-07-10 15:44:42 +00:00
datum _originalDeviceFamily
{
2015-07-10 15:44:42 +00:00
value = "Arria 10";
type = "String";
}
}
2015-07-10 15:44:42 +00:00
element system_bd
{
2015-07-10 15:44:42 +00:00
datum _originalDeviceFamily
{
2015-07-10 15:44:42 +00:00
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
2015-07-13 14:07:18 +00:00
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
2015-08-18 16:46:38 +00:00
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
2015-08-19 15:17:20 +00:00
element system_bd
{
datum _originalDeviceFamily
{
value = "Arria 10";
type = "String";
}
}
}
2015-05-11 14:17:07 +00:00
]]></parameter>
<parameter name="clockCrossingAdapter" value="FIFO" />
2015-05-11 15:55:50 +00:00
<parameter name="device" value="10AX115S3F45I2SGE2" />
<parameter name="deviceFamily" value="Arria 10" />
<parameter name="deviceSpeedGrade" value="2" />
2015-05-11 14:17:07 +00:00
<parameter name="fabricMode" value="QSYS" />
<parameter name="generateLegacySim" value="false" />
<parameter name="generationId" value="0" />
<parameter name="globalResetBus" value="false" />
<parameter name="hdlLanguage" value="VERILOG" />
<parameter name="hideFromIPCatalog" value="false" />
2015-05-19 19:12:23 +00:00
<parameter name="lockedInterfaceDefinition" value="" />
2015-05-11 14:17:07 +00:00
<parameter name="maxAdditionalLatency" value="2" />
2015-05-11 15:55:50 +00:00
<parameter name="projectName" value="daq2_a10gx.qpf" />
2015-05-11 14:17:07 +00:00
<parameter name="sopcBorderPoints" value="false" />
<parameter name="systemHash" value="0" />
<parameter name="testBenchDutName" value="" />
<parameter name="timeStamp" value="0" />
<parameter name="useTestBenchNamingPattern" value="false" />
<instanceScript></instanceScript>
<interface
2015-07-20 13:31:15 +00:00
name="a10gx_base_sys_ddr3_cntrl_mem"
internal="a10gx_base.sys_ddr3_cntrl_mem"
type="conduit"
dir="end" />
<interface
2015-07-20 13:31:15 +00:00
name="a10gx_base_sys_ddr3_cntrl_oct"
internal="a10gx_base.sys_ddr3_cntrl_oct"
type="conduit"
dir="end" />
<interface
2015-07-20 13:31:15 +00:00
name="a10gx_base_sys_ddr3_cntrl_pll_ref_clk"
internal="a10gx_base.sys_ddr3_cntrl_pll_ref_clk"
type="clock"
dir="end" />
<interface
2015-07-20 13:31:15 +00:00
name="a10gx_base_sys_ethernet_mdio"
internal="a10gx_base.sys_ethernet_mdio"
type="conduit"
dir="end" />
<interface
2015-07-20 13:31:15 +00:00
name="a10gx_base_sys_ethernet_ref_clk"
internal="a10gx_base.sys_ethernet_ref_clk"
type="clock"
dir="end" />
2015-05-11 14:17:07 +00:00
<interface
2015-07-20 13:31:15 +00:00
name="a10gx_base_sys_ethernet_sgmii"
internal="a10gx_base.sys_ethernet_sgmii"
2015-05-11 14:17:07 +00:00
type="conduit"
dir="end" />
<interface
2015-07-20 13:31:15 +00:00
name="a10gx_base_sys_gpio"
internal="a10gx_base.sys_gpio"
type="conduit"
dir="end" />
2015-06-01 14:59:33 +00:00
<interface
2015-07-20 13:31:15 +00:00
name="a10gx_base_sys_gpio_bd"
internal="a10gx_base.sys_gpio_bd"
2015-05-11 15:55:50 +00:00
type="conduit"
dir="end" />
2015-05-14 18:35:20 +00:00
<interface
2015-07-20 13:31:15 +00:00
name="a10gx_base_sys_spi"
internal="a10gx_base.sys_spi"
type="conduit"
dir="end" />
<interface name="daq2_rx_data" internal="daq2.rx_data" type="conduit" dir="end" />
2015-06-01 14:59:33 +00:00
<interface
2015-07-20 13:31:15 +00:00
name="daq2_rx_ref_clk"
internal="daq2.rx_ref_clk"
2015-05-14 18:35:20 +00:00
type="clock"
dir="end" />
2015-07-20 13:31:15 +00:00
<interface name="daq2_rx_sync" internal="daq2.rx_sync" type="conduit" dir="end" />
2015-06-01 14:59:33 +00:00
<interface
2015-07-20 13:31:15 +00:00
name="daq2_rx_sysref"
internal="daq2.rx_sysref"
2015-05-11 14:17:07 +00:00
type="conduit"
dir="end" />
2015-07-20 13:31:15 +00:00
<interface name="daq2_tx_data" internal="daq2.tx_data" type="conduit" dir="end" />
2015-05-11 14:17:07 +00:00
<interface
2015-07-20 13:31:15 +00:00
name="daq2_tx_ref_clk"
internal="daq2.tx_ref_clk"
2015-05-14 18:35:20 +00:00
type="clock"
2015-05-11 14:17:07 +00:00
dir="end" />
2015-07-20 13:31:15 +00:00
<interface name="daq2_tx_sync" internal="daq2.tx_sync" type="conduit" dir="end" />
2015-05-14 18:35:20 +00:00
<interface
2015-07-20 13:31:15 +00:00
name="daq2_tx_sysref"
internal="daq2.tx_sysref"
2015-05-11 14:17:07 +00:00
type="conduit"
dir="end" />
2015-07-20 13:31:15 +00:00
<interface name="sys_clk" internal="sys_clk.clk_in" type="clock" dir="end" />
2015-05-11 14:17:07 +00:00
<interface
2015-05-14 18:35:20 +00:00
name="sys_reset"
internal="sys_clk.clk_in_reset"
type="reset"
2015-05-11 14:17:07 +00:00
dir="end" />
2015-07-20 13:31:15 +00:00
<module name="a10gx_base" kind="a10gx_system_bd" version="1.0" enabled="1">
2015-05-11 15:55:50 +00:00
<parameter name="AUTO_DEVICE" value="10AX115S3F45I2SGE2" />
<parameter name="AUTO_DEVICE_FAMILY" value="Arria 10" />
<parameter name="AUTO_DEVICE_SPEEDGRADE" value="2" />
2015-07-20 13:31:15 +00:00
<parameter name="AUTO_GENERATION_ID" value="0" />
2015-08-18 16:46:38 +00:00
<parameter name="AUTO_SYS_CLK_CLOCK_DOMAIN" value="3" />
2015-07-20 13:31:15 +00:00
<parameter name="AUTO_SYS_CLK_CLOCK_RATE" value="100000000" />
2015-08-18 16:46:38 +00:00
<parameter name="AUTO_SYS_CLK_RESET_DOMAIN" value="3" />
2015-07-20 13:31:15 +00:00
<parameter name="AUTO_SYS_CPU_M_AVL_ADDRESS_MAP"><![CDATA[<address-map><slave name='daq2_axi_jesd_xcvr.s_axi' start='0x0' end='0x10000' /><slave name='daq2_axi_ad9680_core.s_axi' start='0x10000' end='0x20000' /><slave name='daq2_axi_ad9144_core.s_axi' start='0x20000' end='0x30000' /><slave name='daq2_axi_ad9680_dma.s_axi' start='0x30000' end='0x34000' /><slave name='daq2_axi_ad9144_dma.s_axi' start='0x34000' end='0x38000' /></address-map>]]></parameter>
<parameter name="AUTO_SYS_CPU_M_AVL_ADDRESS_WIDTH" value="AddressWidth = 18" />
2015-08-18 16:46:38 +00:00
<parameter name="AUTO_SYS_DDR3_CNTRL_PLL_REF_CLK_CLOCK_DOMAIN" value="4" />
2015-07-20 13:31:15 +00:00
<parameter name="AUTO_SYS_DDR3_CNTRL_PLL_REF_CLK_CLOCK_RATE" value="0" />
2015-08-18 16:46:38 +00:00
<parameter name="AUTO_SYS_DDR3_CNTRL_PLL_REF_CLK_RESET_DOMAIN" value="4" />
<parameter name="AUTO_SYS_ETHERNET_REF_CLK_CLOCK_DOMAIN" value="5" />
2015-07-20 13:31:15 +00:00
<parameter name="AUTO_SYS_ETHERNET_REF_CLK_CLOCK_RATE" value="0" />
2015-08-18 16:46:38 +00:00
<parameter name="AUTO_SYS_ETHERNET_REF_CLK_RESET_DOMAIN" value="5" />
2015-07-20 13:31:15 +00:00
<parameter name="AUTO_SYS_INTR_INTERRUPTS_USED" value="3" />
2015-08-18 16:46:38 +00:00
<parameter name="AUTO_UNIQUE_ID">system_bd_a10gx_base</parameter>
2015-05-11 14:17:07 +00:00
</module>
2015-07-20 13:31:15 +00:00
<module name="daq2" kind="daq2_bd" version="1.0" enabled="1">
<parameter name="AUTO_AXI_AD9144_DMA_M_AXI_ADDRESS_MAP"><![CDATA[<address-map><slave name='a10gx_base_sys_ddr3_cntrl.ctrl_amm_0' start='0x10000000' end='0x20000000' /></address-map>]]></parameter>
<parameter
name="AUTO_AXI_AD9144_DMA_M_AXI_ADDRESS_WIDTH"
value="AddressWidth = 29" />
<parameter name="AUTO_AXI_AD9680_DMA_M_AXI_ADDRESS_MAP"><![CDATA[<address-map><slave name='a10gx_base_sys_ddr3_cntrl.ctrl_amm_0' start='0x10000000' end='0x20000000' /></address-map>]]></parameter>
<parameter
name="AUTO_AXI_AD9680_DMA_M_AXI_ADDRESS_WIDTH"
value="AddressWidth = 29" />
2015-05-11 15:55:50 +00:00
<parameter name="AUTO_DEVICE" value="10AX115S3F45I2SGE2" />
<parameter name="AUTO_DEVICE_FAMILY" value="Arria 10" />
<parameter name="AUTO_DEVICE_SPEEDGRADE" value="2" />
2015-07-20 13:31:15 +00:00
<parameter name="AUTO_GENERATION_ID" value="0" />
2015-08-18 16:46:38 +00:00
<parameter name="AUTO_MEM_CLK_CLOCK_DOMAIN" value="1" />
2015-08-19 15:17:20 +00:00
<parameter name="AUTO_MEM_CLK_CLOCK_RATE" value="133332500" />
2015-08-18 16:46:38 +00:00
<parameter name="AUTO_MEM_CLK_RESET_DOMAIN" value="1" />
<parameter name="AUTO_RX_REF_CLK_CLOCK_DOMAIN" value="6" />
2015-07-20 13:31:15 +00:00
<parameter name="AUTO_RX_REF_CLK_CLOCK_RATE" value="0" />
2015-08-18 16:46:38 +00:00
<parameter name="AUTO_RX_REF_CLK_RESET_DOMAIN" value="6" />
<parameter name="AUTO_SYS_CLK_CLOCK_DOMAIN" value="3" />
2015-07-20 13:31:15 +00:00
<parameter name="AUTO_SYS_CLK_CLOCK_RATE" value="100000000" />
2015-08-18 16:46:38 +00:00
<parameter name="AUTO_SYS_CLK_RESET_DOMAIN" value="3" />
<parameter name="AUTO_TX_REF_CLK_CLOCK_DOMAIN" value="7" />
2015-07-20 13:31:15 +00:00
<parameter name="AUTO_TX_REF_CLK_CLOCK_RATE" value="0" />
2015-08-18 16:46:38 +00:00
<parameter name="AUTO_TX_REF_CLK_RESET_DOMAIN" value="7" />
<parameter name="AUTO_UNIQUE_ID" value="system_bd_daq2" />
2015-05-14 18:35:20 +00:00
</module>
2015-07-20 13:31:15 +00:00
<module name="sys_clk" kind="clock_source" version="15.0" enabled="1">
<parameter name="clockFrequency" value="100000000" />
<parameter name="clockFrequencyKnown" value="true" />
<parameter name="inputClockFrequency" value="0" />
<parameter name="resetSynchronousEdges" value="NONE" />
2015-05-20 18:24:49 +00:00
</module>
2015-05-11 14:17:07 +00:00
<connection
kind="avalon"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-07-20 13:31:15 +00:00
start="daq2.axi_ad9144_dma_m_axi"
end="a10gx_base.sys_mem_s_avl">
2015-05-11 14:17:07 +00:00
<parameter name="arbitrationPriority" value="1" />
2015-07-14 16:46:08 +00:00
<parameter name="baseAddress" value="0x0000" />
2015-05-11 14:17:07 +00:00
<parameter name="defaultConnection" value="false" />
</connection>
<connection
kind="avalon"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-07-20 13:31:15 +00:00
start="daq2.axi_ad9680_dma_m_axi"
end="a10gx_base.sys_mem_s_avl">
2015-05-11 14:17:07 +00:00
<parameter name="arbitrationPriority" value="1" />
2015-07-14 16:46:08 +00:00
<parameter name="baseAddress" value="0x0000" />
2015-05-11 14:17:07 +00:00
<parameter name="defaultConnection" value="false" />
</connection>
<connection
kind="avalon"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-07-20 13:31:15 +00:00
start="a10gx_base.sys_cpu_m_avl"
end="daq2.axi_ad9144_core_s_axi">
2015-05-11 14:17:07 +00:00
<parameter name="arbitrationPriority" value="1" />
2015-07-20 13:31:15 +00:00
<parameter name="baseAddress" value="0x00020000" />
2015-05-11 14:17:07 +00:00
<parameter name="defaultConnection" value="false" />
</connection>
<connection
kind="avalon"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-07-20 13:31:15 +00:00
start="a10gx_base.sys_cpu_m_avl"
end="daq2.axi_ad9144_dma_s_axi">
2015-05-11 14:17:07 +00:00
<parameter name="arbitrationPriority" value="1" />
2015-07-20 13:31:15 +00:00
<parameter name="baseAddress" value="0x00034000" />
2015-05-11 14:17:07 +00:00
<parameter name="defaultConnection" value="false" />
</connection>
<connection
kind="avalon"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-07-20 13:31:15 +00:00
start="a10gx_base.sys_cpu_m_avl"
end="daq2.axi_ad9680_core_s_axi">
2015-05-11 14:17:07 +00:00
<parameter name="arbitrationPriority" value="1" />
2015-07-20 13:31:15 +00:00
<parameter name="baseAddress" value="0x00010000" />
2015-05-11 14:17:07 +00:00
<parameter name="defaultConnection" value="false" />
</connection>
<connection
kind="avalon"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-07-20 13:31:15 +00:00
start="a10gx_base.sys_cpu_m_avl"
end="daq2.axi_ad9680_dma_s_axi">
2015-05-11 14:17:07 +00:00
<parameter name="arbitrationPriority" value="1" />
2015-07-20 13:31:15 +00:00
<parameter name="baseAddress" value="0x00030000" />
2015-05-11 14:17:07 +00:00
<parameter name="defaultConnection" value="false" />
</connection>
<connection
kind="avalon"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-07-20 13:31:15 +00:00
start="a10gx_base.sys_cpu_m_avl"
end="daq2.axi_jesd_xcvr_s_axi">
2015-05-11 14:17:07 +00:00
<parameter name="arbitrationPriority" value="1" />
2015-07-14 16:46:08 +00:00
<parameter name="baseAddress" value="0x0000" />
2015-05-11 14:17:07 +00:00
<parameter name="defaultConnection" value="false" />
</connection>
<connection
kind="clock"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-14 18:35:20 +00:00
start="sys_clk.clk"
2015-07-20 13:31:15 +00:00
end="a10gx_base.sys_clk" />
<connection kind="clock" version="15.0" start="sys_clk.clk" end="daq2.sys_clk" />
2015-05-11 14:17:07 +00:00
<connection
kind="clock"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-07-20 13:31:15 +00:00
start="a10gx_base.mem_clk"
end="daq2.mem_clk" />
2015-05-11 14:17:07 +00:00
<connection
kind="interrupt"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-07-20 13:31:15 +00:00
start="a10gx_base.sys_intr"
end="daq2.axi_ad9144_dma_intr">
2015-05-11 14:17:07 +00:00
<parameter name="irqNumber" value="1" />
</connection>
<connection
kind="interrupt"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-07-20 13:31:15 +00:00
start="a10gx_base.sys_intr"
end="daq2.axi_ad9680_dma_intr">
2015-05-11 14:17:07 +00:00
<parameter name="irqNumber" value="0" />
</connection>
2015-05-11 15:55:50 +00:00
<connection
kind="reset"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 15:55:50 +00:00
start="sys_clk.clk_reset"
2015-07-20 13:31:15 +00:00
end="a10gx_base.sys_rst" />
2015-05-11 14:17:07 +00:00
<connection
kind="reset"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-05-11 14:17:07 +00:00
start="sys_clk.clk_reset"
2015-07-20 13:31:15 +00:00
end="daq2.sys_rst" />
2015-05-11 15:55:50 +00:00
<connection
kind="reset"
2015-05-19 19:12:23 +00:00
version="15.0"
2015-07-20 13:31:15 +00:00
start="a10gx_base.mem_rst"
end="daq2.mem_rst" />
2015-05-11 14:17:07 +00:00
<interconnectRequirement for="$system" name="qsys_mm.clockCrossingAdapter" value="FIFO" />
<interconnectRequirement for="$system" name="qsys_mm.insertDefaultSlave" value="false" />
<interconnectRequirement for="$system" name="qsys_mm.maxAdditionalLatency" value="2" />
</system>