pluto_hdl_adi/library/altera/common/ad_cmos_out.v

99 lines
3.1 KiB
Coq
Raw Normal View History

2016-04-28 14:10:07 +00:00
// ***************************************************************************
// ***************************************************************************
// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved.
2016-04-28 14:10:07 +00:00
//
// In this HDL repository, there are many different and unique modules, consisting
// of various HDL (Verilog or VHDL) components. The individual modules are
// developed independently, and may be accompanied by separate and unique license
// terms.
//
// The user should read each of these license terms, and understand the
// freedoms and responsabilities that he or she has by using this source/core.
//
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
// A PARTICULAR PURPOSE.
2016-04-28 14:10:07 +00:00
//
// Redistribution and use of source or resulting binaries, with or without modification
// of this file, are permitted under one of the following two license terms:
2016-04-28 14:10:07 +00:00
//
// 1. The GNU General Public License version 2 as published by the
// Free Software Foundation, which can be found in the top level directory
// of this repository (LICENSE_GPL2), and also online at:
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
//
// OR
//
// 2. An ADI specific BSD license, which can be found in the top level directory
// of this repository (LICENSE_ADIBSD), and also on-line at:
// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD
// This will allow to generate bit files and not release the source code,
// as long as it attaches to an ADI device.
2016-04-28 14:10:07 +00:00
//
// ***************************************************************************
// ***************************************************************************
`timescale 1ns/100ps
2016-10-31 17:13:48 +00:00
module __ad_cmos_out__ #(
parameter DEVICE_TYPE = 0,
parameter IODELAY_ENABLE = 0,
parameter IODELAY_CTRL = 0,
parameter IODELAY_GROUP = "dev_if_delay_group") (
2016-04-28 14:10:07 +00:00
// data interface
2016-10-31 17:13:48 +00:00
input tx_clk,
input tx_data_p,
input tx_data_n,
output tx_data_out,
2016-04-28 14:10:07 +00:00
// delay-data interface
2016-10-31 17:13:48 +00:00
input up_clk,
input up_dld,
input [ 4:0] up_dwdata,
output [ 4:0] up_drdata,
2016-04-28 14:10:07 +00:00
// delay-cntrl interface
2016-10-31 17:13:48 +00:00
input delay_clk,
input delay_rst,
output delay_locked);
2016-04-28 14:10:07 +00:00
2016-10-31 17:13:48 +00:00
// local parameter
2016-04-28 14:10:07 +00:00
2016-10-31 17:13:48 +00:00
localparam ARRIA10 = 0;
localparam CYCLONE5 = 1;
2016-04-28 14:10:07 +00:00
2016-04-28 15:37:46 +00:00
// defaults
2016-04-28 14:10:07 +00:00
assign up_drdata = 5'd0;
2016-04-28 15:37:46 +00:00
assign delay_locked = 1'b1;
// instantiations
2016-04-28 14:10:07 +00:00
generate
2016-10-31 17:13:48 +00:00
if (DEVICE_TYPE == ARRIA10) begin
__ad_cmos_out_1__ i_tx_data_oddr (
2016-11-01 16:40:48 +00:00
.clk_export (tx_clk),
.din_export ({tx_data_p, tx_data_n}),
.pad_out_export (tx_data_out));
end
endgenerate
generate
2016-10-31 17:13:48 +00:00
if (DEVICE_TYPE == CYCLONE5) begin
ad_cmos_out_core_c5 i_tx_data_oddr (
.clk (tx_clk),
.din ({tx_data_p, tx_data_n}),
.pad_out (tx_data_out));
end
endgenerate
2016-04-28 14:10:07 +00:00
endmodule
// ***************************************************************************
// ***************************************************************************