pluto_hdl_adi/projects/daq2/a10gx/sys_xcvr_tx_ip.qsys

406 lines
15 KiB
Plaintext
Raw Normal View History

2015-07-10 15:44:22 +00:00
<?xml version="1.0" encoding="UTF-8"?>
<system name="$${FILENAME}">
<component
name="$${FILENAME}"
displayName="$${FILENAME}"
version="1.0"
description=""
tags="INTERNAL_COMPONENT=true"
categories="" />
<parameter name="bonusData"><![CDATA[bonusData
{
element $${FILENAME}
{
}
element jesd204_0
{
datum _sortIndex
{
value = "0";
type = "int";
}
}
}
]]></parameter>
<parameter name="clockCrossingAdapter" value="HANDSHAKE" />
<parameter name="device" value="10AX115S3F45I2SGE2" />
<parameter name="deviceFamily" value="Arria 10" />
<parameter name="deviceSpeedGrade" value="2" />
<parameter name="fabricMode" value="QSYS" />
<parameter name="generateLegacySim" value="false" />
<parameter name="generationId" value="0" />
<parameter name="globalResetBus" value="false" />
<parameter name="hdlLanguage" value="VERILOG" />
<parameter name="hideFromIPCatalog" value="true" />
<parameter name="lockedInterfaceDefinition" value="" />
<parameter name="maxAdditionalLatency" value="1" />
<parameter name="projectName" value="" />
<parameter name="sopcBorderPoints" value="false" />
<parameter name="systemHash" value="0" />
<parameter name="testBenchDutName" value="" />
<parameter name="timeStamp" value="0" />
<parameter name="useTestBenchNamingPattern" value="false" />
<instanceScript></instanceScript>
<interface name="alldev_lane_aligned" internal="jesd204_0.alldev_lane_aligned" />
<interface
name="csr_bit_reversal"
internal="jesd204_0.csr_bit_reversal"
type="conduit"
dir="end">
<port name="csr_bit_reversal" internal="csr_bit_reversal" />
</interface>
<interface
name="csr_byte_reversal"
internal="jesd204_0.csr_byte_reversal"
type="conduit"
dir="end">
<port name="csr_byte_reversal" internal="csr_byte_reversal" />
</interface>
<interface name="csr_cf" internal="jesd204_0.csr_cf" type="conduit" dir="end">
<port name="csr_cf" internal="csr_cf" />
</interface>
<interface name="csr_cs" internal="jesd204_0.csr_cs" type="conduit" dir="end">
<port name="csr_cs" internal="csr_cs" />
</interface>
<interface name="csr_f" internal="jesd204_0.csr_f" type="conduit" dir="end">
<port name="csr_f" internal="csr_f" />
</interface>
<interface name="csr_hd" internal="jesd204_0.csr_hd" type="conduit" dir="end">
<port name="csr_hd" internal="csr_hd" />
</interface>
<interface name="csr_k" internal="jesd204_0.csr_k" type="conduit" dir="end">
<port name="csr_k" internal="csr_k" />
</interface>
<interface name="csr_l" internal="jesd204_0.csr_l" type="conduit" dir="end">
<port name="csr_l" internal="csr_l" />
</interface>
<interface
name="csr_lane_polarity"
internal="jesd204_0.csr_lane_polarity"
type="conduit"
dir="end">
<port name="csr_lane_polarity" internal="csr_lane_polarity" />
</interface>
<interface
name="csr_lane_powerdown"
internal="jesd204_0.csr_lane_powerdown"
type="conduit"
dir="end">
<port name="csr_lane_powerdown" internal="csr_lane_powerdown" />
</interface>
<interface name="csr_m" internal="jesd204_0.csr_m" type="conduit" dir="end">
<port name="csr_m" internal="csr_m" />
</interface>
<interface name="csr_n" internal="jesd204_0.csr_n" type="conduit" dir="end">
<port name="csr_n" internal="csr_n" />
</interface>
<interface name="csr_np" internal="jesd204_0.csr_np" type="conduit" dir="end">
<port name="csr_np" internal="csr_np" />
</interface>
<interface name="csr_rx_testmode" internal="jesd204_0.csr_rx_testmode" />
<interface name="csr_s" internal="jesd204_0.csr_s" type="conduit" dir="end">
<port name="csr_s" internal="csr_s" />
</interface>
<interface
name="csr_tx_testmode"
internal="jesd204_0.csr_tx_testmode"
type="conduit"
dir="end">
<port name="csr_tx_testmode" internal="csr_tx_testmode" />
</interface>
<interface
name="csr_tx_testpattern_a"
internal="jesd204_0.csr_tx_testpattern_a"
type="conduit"
dir="end">
<port name="csr_tx_testpattern_a" internal="csr_tx_testpattern_a" />
</interface>
<interface
name="csr_tx_testpattern_b"
internal="jesd204_0.csr_tx_testpattern_b"
type="conduit"
dir="end">
<port name="csr_tx_testpattern_b" internal="csr_tx_testpattern_b" />
</interface>
<interface
name="csr_tx_testpattern_c"
internal="jesd204_0.csr_tx_testpattern_c"
type="conduit"
dir="end">
<port name="csr_tx_testpattern_c" internal="csr_tx_testpattern_c" />
</interface>
<interface
name="csr_tx_testpattern_d"
internal="jesd204_0.csr_tx_testpattern_d"
type="conduit"
dir="end">
<port name="csr_tx_testpattern_d" internal="csr_tx_testpattern_d" />
</interface>
<interface name="dev_lane_aligned" internal="jesd204_0.dev_lane_aligned" />
<interface
name="dev_sync_n"
internal="jesd204_0.dev_sync_n"
type="conduit"
dir="end">
<port name="dev_sync_n" internal="dev_sync_n" />
</interface>
<interface name="jesd204_rx_avs" internal="jesd204_0.jesd204_rx_avs" />
<interface name="jesd204_rx_avs_clk" internal="jesd204_0.jesd204_rx_avs_clk" />
<interface name="jesd204_rx_avs_rst_n" internal="jesd204_0.jesd204_rx_avs_rst_n" />
<interface name="jesd204_rx_dlb_data" internal="jesd204_0.jesd204_rx_dlb_data" />
<interface
name="jesd204_rx_dlb_data_valid"
internal="jesd204_0.jesd204_rx_dlb_data_valid" />
<interface
name="jesd204_rx_dlb_disperr"
internal="jesd204_0.jesd204_rx_dlb_disperr" />
<interface
name="jesd204_rx_dlb_errdetect"
internal="jesd204_0.jesd204_rx_dlb_errdetect" />
<interface
name="jesd204_rx_dlb_kchar_data"
internal="jesd204_0.jesd204_rx_dlb_kchar_data" />
<interface
name="jesd204_rx_frame_error"
internal="jesd204_0.jesd204_rx_frame_error" />
<interface name="jesd204_rx_int" internal="jesd204_0.jesd204_rx_int" />
<interface name="jesd204_rx_link" internal="jesd204_0.jesd204_rx_link" />
<interface name="jesd204_rx_pcs_data" internal="jesd204_0.jesd204_rx_pcs_data" />
<interface
name="jesd204_rx_pcs_data_valid"
internal="jesd204_0.jesd204_rx_pcs_data_valid" />
<interface
name="jesd204_rx_pcs_disperr"
internal="jesd204_0.jesd204_rx_pcs_disperr" />
<interface
name="jesd204_rx_pcs_errdetect"
internal="jesd204_0.jesd204_rx_pcs_errdetect" />
<interface
name="jesd204_rx_pcs_kchar_data"
internal="jesd204_0.jesd204_rx_pcs_kchar_data" />
<interface
name="jesd204_tx_avs"
internal="jesd204_0.jesd204_tx_avs"
type="avalon"
dir="end">
<port name="jesd204_tx_avs_chipselect" internal="jesd204_tx_avs_chipselect" />
<port name="jesd204_tx_avs_address" internal="jesd204_tx_avs_address" />
<port name="jesd204_tx_avs_read" internal="jesd204_tx_avs_read" />
<port name="jesd204_tx_avs_readdata" internal="jesd204_tx_avs_readdata" />
<port
name="jesd204_tx_avs_waitrequest"
internal="jesd204_tx_avs_waitrequest" />
<port name="jesd204_tx_avs_write" internal="jesd204_tx_avs_write" />
<port name="jesd204_tx_avs_writedata" internal="jesd204_tx_avs_writedata" />
</interface>
<interface
name="jesd204_tx_avs_clk"
internal="jesd204_0.jesd204_tx_avs_clk"
type="clock"
dir="end">
<port name="jesd204_tx_avs_clk" internal="jesd204_tx_avs_clk" />
</interface>
<interface
name="jesd204_tx_avs_rst_n"
internal="jesd204_0.jesd204_tx_avs_rst_n"
type="reset"
dir="end">
<port name="jesd204_tx_avs_rst_n" internal="jesd204_tx_avs_rst_n" />
</interface>
<interface
name="jesd204_tx_frame_error"
internal="jesd204_0.jesd204_tx_frame_error"
type="conduit"
dir="end">
<port name="jesd204_tx_frame_error" internal="jesd204_tx_frame_error" />
</interface>
<interface
name="jesd204_tx_frame_ready"
internal="jesd204_0.jesd204_tx_frame_ready"
type="conduit"
dir="end">
<port name="jesd204_tx_frame_ready" internal="jesd204_tx_frame_ready" />
</interface>
<interface
name="jesd204_tx_int"
internal="jesd204_0.jesd204_tx_int"
type="interrupt"
dir="end">
<port name="jesd204_tx_int" internal="jesd204_tx_int" />
</interface>
<interface
name="jesd204_tx_link"
internal="jesd204_0.jesd204_tx_link"
type="avalon_streaming"
dir="end">
<port name="jesd204_tx_link_data" internal="jesd204_tx_link_data" />
<port name="jesd204_tx_link_valid" internal="jesd204_tx_link_valid" />
<port name="jesd204_tx_link_ready" internal="jesd204_tx_link_ready" />
</interface>
<interface
name="jesd204_tx_pcs_data"
internal="jesd204_0.jesd204_tx_pcs_data"
type="conduit"
dir="end">
<port name="jesd204_tx_pcs_data" internal="jesd204_tx_pcs_data" />
</interface>
<interface
name="jesd204_tx_pcs_kchar_data"
internal="jesd204_0.jesd204_tx_pcs_kchar_data"
type="conduit"
dir="end">
<port name="jesd204_tx_pcs_kchar_data" internal="jesd204_tx_pcs_kchar_data" />
</interface>
<interface
name="mdev_sync_n"
internal="jesd204_0.mdev_sync_n"
type="conduit"
dir="end">
<port name="mdev_sync_n" internal="mdev_sync_n" />
</interface>
<interface name="patternalign_en" internal="jesd204_0.patternalign_en" />
<interface
name="phy_csr_rx_pcfifo_empty"
internal="jesd204_0.phy_csr_rx_pcfifo_empty" />
<interface
name="phy_csr_rx_pcfifo_full"
internal="jesd204_0.phy_csr_rx_pcfifo_full" />
<interface
name="phy_csr_tx_pcfifo_empty"
internal="jesd204_0.phy_csr_tx_pcfifo_empty"
type="conduit"
dir="end">
<port name="phy_csr_tx_pcfifo_empty" internal="phy_csr_tx_pcfifo_empty" />
</interface>
<interface
name="phy_csr_tx_pcfifo_full"
internal="jesd204_0.phy_csr_tx_pcfifo_full"
type="conduit"
dir="end">
<port name="phy_csr_tx_pcfifo_full" internal="phy_csr_tx_pcfifo_full" />
</interface>
<interface
name="phy_tx_elecidle"
internal="jesd204_0.phy_tx_elecidle"
type="conduit"
dir="end">
<port name="phy_tx_elecidle" internal="phy_tx_elecidle" />
</interface>
<interface
name="pll_locked"
internal="jesd204_0.pll_locked"
type="conduit"
dir="end">
<port name="pll_locked" internal="pll_locked" />
</interface>
<interface name="pll_ref_clk" internal="jesd204_0.pll_ref_clk" />
<interface name="rx_analogreset" internal="jesd204_0.rx_analogreset" />
<interface name="rx_cal_busy" internal="jesd204_0.rx_cal_busy" />
<interface name="rx_digitalreset" internal="jesd204_0.rx_digitalreset" />
<interface name="rx_islockedtodata" internal="jesd204_0.rx_islockedtodata" />
<interface name="rx_serial_data" internal="jesd204_0.rx_serial_data" />
<interface name="rxlink_clk" internal="jesd204_0.rxlink_clk" />
<interface name="rxlink_rst_n" internal="jesd204_0.rxlink_rst_n" />
<interface name="rxphy_clk" internal="jesd204_0.rxphy_clk" />
<interface name="sof" internal="jesd204_0.sof" />
<interface name="somf" internal="jesd204_0.somf" />
<interface name="sync_n" internal="jesd204_0.sync_n" type="conduit" dir="end">
<port name="sync_n" internal="sync_n" />
</interface>
<interface name="sysref" internal="jesd204_0.sysref" type="conduit" dir="end">
<port name="sysref" internal="sysref" />
</interface>
<interface name="tx_analogreset" internal="jesd204_0.tx_analogreset" />
<interface name="tx_bonding_clocks" internal="jesd204_0.tx_bonding_clocks" />
<interface
name="tx_cal_busy"
internal="jesd204_0.tx_cal_busy"
type="conduit"
dir="end">
<port name="tx_cal_busy" internal="tx_cal_busy" />
</interface>
<interface name="tx_digitalreset" internal="jesd204_0.tx_digitalreset" />
<interface name="tx_serial_clk0" internal="jesd204_0.tx_serial_clk0" />
<interface name="tx_serial_data" internal="jesd204_0.tx_serial_data" />
<interface
name="txlink_clk"
internal="jesd204_0.txlink_clk"
type="clock"
dir="end">
<port name="txlink_clk" internal="txlink_clk" />
</interface>
<interface
name="txlink_rst_n"
internal="jesd204_0.txlink_rst_n"
type="reset"
dir="end">
<port name="txlink_rst_n_reset_n" internal="txlink_rst_n_reset_n" />
</interface>
<interface name="txphy_clk" internal="jesd204_0.txphy_clk" />
<module
name="jesd204_0"
kind="altera_jesd204"
version="15.0"
enabled="1"
autoexport="1">
<parameter name="ADJCNT" value="0" />
<parameter name="ADJDIR" value="0" />
<parameter name="AUTO_DEVICE" value="10AX115S3F45I2SGE2" />
<parameter name="AUTO_DEVICE_SPEEDGRADE" value="2" />
<parameter name="BID" value="0" />
<parameter name="CF" value="0" />
<parameter name="CS" value="0" />
<parameter name="DATA_PATH" value="TX" />
<parameter name="DEVICE_FAMILY" value="Arria 10" />
<parameter name="DID" value="0" />
<parameter name="DLB_TEST" value="0" />
<parameter name="ECC_EN" value="0" />
<parameter name="GUI_CFG_F" value="1" />
<parameter name="GUI_EN_CFG_F" value="true" />
<parameter name="HD" value="1" />
<parameter name="JESDV" value="1" />
<parameter name="K" value="32" />
<parameter name="L" value="4" />
<parameter name="LID0" value="0" />
<parameter name="LID1" value="1" />
<parameter name="LID2" value="2" />
<parameter name="LID3" value="3" />
<parameter name="LID4" value="4" />
<parameter name="LID5" value="5" />
<parameter name="LID6" value="6" />
<parameter name="LID7" value="7" />
<parameter name="M" value="2" />
<parameter name="N" value="16" />
<parameter name="N_PRIME" value="16" />
<parameter name="OPTIMIZE" value="0" />
<parameter name="PCS_CONFIG" value="JESD_PCS_CFG1" />
<parameter name="PHADJ" value="0" />
<parameter name="REFCLK_FREQ" value="125.0" />
<parameter name="RES1" value="0" />
<parameter name="RES2" value="0" />
<parameter name="S" value="1" />
<parameter name="SCR" value="1" />
<parameter name="SUBCLASSV" value="1" />
<parameter name="TERMINATE_RECONFIG_EN" value="false" />
<parameter name="TEST_COMPONENTS_EN" value="false" />
<parameter name="bitrev_en" value="false" />
<parameter name="bonded_mode" value="non_bonded" />
<parameter name="lane_rate" value="10000.0" />
<parameter name="part_trait_bd" value="NIGHTFURY5ES2" />
<parameter name="part_trait_dp" value="10AX115S3F45I2SGE2" />
<parameter name="pll_reconfig_enable" value="false" />
<parameter name="pll_type" value="CMU" />
<parameter name="rcfg_jtag_enable" value="false" />
<parameter name="sdc_constraint" value="1.0" />
<parameter name="set_capability_reg_enable" value="false" />
<parameter name="set_csr_soft_logic_enable" value="false" />
<parameter name="set_prbs_soft_logic_enable" value="false" />
<parameter name="set_user_identifier" value="0" />
<parameter name="wrapper_opt" value="base" />
</module>
<interconnectRequirement for="$system" name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" />
<interconnectRequirement for="$system" name="qsys_mm.enableEccProtection" value="FALSE" />
<interconnectRequirement for="$system" name="qsys_mm.insertDefaultSlave" value="FALSE" />
<interconnectRequirement for="$system" name="qsys_mm.maxAdditionalLatency" value="1" />
</system>