pluto_hdl_adi/library/axi_ad9684/axi_ad9684_constr.xdc

2 lines
172 B
Plaintext
Raw Normal View History

2016-02-12 12:38:59 +00:00
set_false_path -from [get_cells -hier -filter {name =~ *up_drp_locked_reg && IS_SEQUENTIAL}] -to [get_cells -hier -filter {name =~ *adc_status_m1_reg && IS_SEQUENTIAL}]