2017-04-03 11:27:59 +00:00
|
|
|
// ***************************************************************************
|
|
|
|
// ***************************************************************************
|
2023-07-06 13:54:40 +00:00
|
|
|
// Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved.
|
2017-04-03 11:27:59 +00:00
|
|
|
//
|
2017-05-31 15:15:24 +00:00
|
|
|
// In this HDL repository, there are many different and unique modules, consisting
|
|
|
|
// of various HDL (Verilog or VHDL) components. The individual modules are
|
|
|
|
// developed independently, and may be accompanied by separate and unique license
|
|
|
|
// terms.
|
|
|
|
//
|
|
|
|
// The user should read each of these license terms, and understand the
|
2018-03-14 14:45:47 +00:00
|
|
|
// freedoms and responsibilities that he or she has by using this source/core.
|
2017-05-31 15:15:24 +00:00
|
|
|
//
|
|
|
|
// This core is distributed in the hope that it will be useful, but WITHOUT ANY
|
2017-05-29 06:55:41 +00:00
|
|
|
// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR
|
|
|
|
// A PARTICULAR PURPOSE.
|
2017-04-03 11:27:59 +00:00
|
|
|
//
|
2017-05-29 06:55:41 +00:00
|
|
|
// Redistribution and use of source or resulting binaries, with or without modification
|
|
|
|
// of this file, are permitted under one of the following two license terms:
|
2017-04-03 11:27:59 +00:00
|
|
|
//
|
2017-05-17 08:44:52 +00:00
|
|
|
// 1. The GNU General Public License version 2 as published by the
|
2017-05-31 15:15:24 +00:00
|
|
|
// Free Software Foundation, which can be found in the top level directory
|
|
|
|
// of this repository (LICENSE_GPL2), and also online at:
|
|
|
|
// <https://www.gnu.org/licenses/old-licenses/gpl-2.0.html>
|
2017-05-17 08:44:52 +00:00
|
|
|
//
|
|
|
|
// OR
|
|
|
|
//
|
2017-05-31 15:15:24 +00:00
|
|
|
// 2. An ADI specific BSD license, which can be found in the top level directory
|
|
|
|
// of this repository (LICENSE_ADIBSD), and also on-line at:
|
2023-12-13 16:03:34 +00:00
|
|
|
// https://github.com/analogdevicesinc/hdl/blob/main/LICENSE_ADIBSD
|
2017-05-29 06:55:41 +00:00
|
|
|
// This will allow to generate bit files and not release the source code,
|
|
|
|
// as long as it attaches to an ADI device.
|
2017-04-03 11:27:59 +00:00
|
|
|
//
|
|
|
|
// ***************************************************************************
|
|
|
|
// ***************************************************************************
|
|
|
|
|
2018-08-27 07:14:54 +00:00
|
|
|
`timescale 1ns/100ps
|
|
|
|
|
2017-04-03 11:27:59 +00:00
|
|
|
module cic_comb #(
|
|
|
|
parameter DATA_WIDTH = 32,
|
2017-04-04 13:44:20 +00:00
|
|
|
parameter SEQ = 1,
|
|
|
|
parameter STAGE_WIDTH = 1,
|
|
|
|
parameter NUM_STAGES = 1
|
2017-04-03 11:27:59 +00:00
|
|
|
) (
|
|
|
|
input clk,
|
|
|
|
input ce,
|
2017-04-04 13:44:20 +00:00
|
|
|
input [NUM_STAGES-1:0] enable,
|
2017-04-03 11:27:59 +00:00
|
|
|
input [DATA_WIDTH-1:0] data_in,
|
|
|
|
output [DATA_WIDTH-1:0] data_out
|
|
|
|
);
|
|
|
|
|
2022-04-08 10:21:52 +00:00
|
|
|
reg [SEQ-1:0] storage[0:DATA_WIDTH-1];
|
|
|
|
reg [DATA_WIDTH-1:0] state = 'h00;
|
2017-04-03 11:27:59 +00:00
|
|
|
|
2022-04-08 10:21:52 +00:00
|
|
|
reg [2:0] counter = 'h00;
|
|
|
|
reg active = 1'b0;
|
2017-04-03 11:27:59 +00:00
|
|
|
|
2022-04-08 10:21:52 +00:00
|
|
|
integer x;
|
2017-04-03 11:27:59 +00:00
|
|
|
|
2022-04-08 10:21:52 +00:00
|
|
|
initial begin
|
|
|
|
for (x = 0; x < DATA_WIDTH; x = x + 1) begin
|
|
|
|
storage[x] = 'h00;
|
2017-04-03 11:27:59 +00:00
|
|
|
end
|
|
|
|
end
|
|
|
|
|
2022-04-08 10:21:52 +00:00
|
|
|
generate if (SEQ != 1) begin
|
2017-04-03 11:27:59 +00:00
|
|
|
|
2022-04-08 10:21:52 +00:00
|
|
|
always @(posedge clk) begin
|
|
|
|
if (ce == 1'b1) begin
|
|
|
|
counter <= SEQ-1;
|
|
|
|
active <= 1'b1;
|
|
|
|
end else if (active == 1'b1) begin
|
|
|
|
counter <= counter - 1'b1;
|
|
|
|
if (counter == 'h1) begin
|
|
|
|
active <= 1'b0;
|
|
|
|
end
|
|
|
|
end
|
|
|
|
end
|
2017-04-03 11:27:59 +00:00
|
|
|
|
|
|
|
end
|
2022-04-08 10:21:52 +00:00
|
|
|
endgenerate
|
|
|
|
|
|
|
|
wire [DATA_WIDTH-1:0] mask;
|
|
|
|
reg [DATA_WIDTH-1:0] data_in_seq;
|
|
|
|
wire [DATA_WIDTH-1:0] storage_out;
|
|
|
|
wire [DATA_WIDTH-1:0] diff = (data_in_seq | ~mask) - (storage_out & mask);
|
|
|
|
|
|
|
|
always @(*) begin
|
|
|
|
if (ce == 1'b1) begin
|
|
|
|
data_in_seq <= data_in;
|
|
|
|
end else begin
|
|
|
|
data_in_seq <= SEQ != 1 ? state : 'h00;
|
|
|
|
end
|
|
|
|
end
|
2017-04-03 11:27:59 +00:00
|
|
|
|
2022-04-08 10:21:52 +00:00
|
|
|
generate
|
|
|
|
genvar i, j;
|
2017-04-04 13:44:20 +00:00
|
|
|
|
2022-04-08 10:21:52 +00:00
|
|
|
for (j = 0; j < NUM_STAGES; j = j + 1) begin
|
|
|
|
localparam k = NUM_STAGES - j - 1;
|
|
|
|
localparam H = DATA_WIDTH - STAGE_WIDTH * j - 1;
|
|
|
|
localparam L = k == 0 ? 0 : DATA_WIDTH - STAGE_WIDTH * (j+1);
|
2017-04-04 13:44:20 +00:00
|
|
|
|
2022-04-08 10:21:52 +00:00
|
|
|
assign mask[H:L] = {{H-L{1'b1}},k != 0 ? enable[k] : 1'b1};
|
2017-04-03 11:27:59 +00:00
|
|
|
|
2022-04-08 10:21:52 +00:00
|
|
|
for (i = L; i <= H; i = i + 1) begin: shift_r
|
|
|
|
always @(posedge clk) begin
|
|
|
|
if (enable[k] == 1'b1 && (ce == 1'b1 || active == 1'b1)) begin
|
|
|
|
if (SEQ > 1) begin
|
|
|
|
storage[i] <= {storage[i][SEQ-2:0],data_in_seq[i]};
|
|
|
|
end else begin
|
|
|
|
storage[i] <= data_in_seq[i];
|
|
|
|
end
|
2017-04-03 11:27:59 +00:00
|
|
|
end
|
|
|
|
end
|
|
|
|
|
2022-04-08 10:21:52 +00:00
|
|
|
assign storage_out[i] = storage[i][SEQ-1];
|
2017-04-04 13:44:20 +00:00
|
|
|
|
2022-04-08 10:21:52 +00:00
|
|
|
end
|
2017-04-04 13:44:20 +00:00
|
|
|
|
2022-04-08 10:21:52 +00:00
|
|
|
always @(posedge clk) begin
|
|
|
|
if (enable[k] == 1'b1 && (ce == 1'b1 || active == 1'b1)) begin
|
|
|
|
state[H:L] <= diff[H:L];
|
|
|
|
end
|
2017-04-04 13:44:20 +00:00
|
|
|
end
|
2017-04-03 11:27:59 +00:00
|
|
|
end
|
2017-04-04 13:44:20 +00:00
|
|
|
|
2022-04-08 10:21:52 +00:00
|
|
|
endgenerate
|
2017-04-03 11:27:59 +00:00
|
|
|
|
2022-04-08 10:21:52 +00:00
|
|
|
assign data_out = state;
|
2017-04-03 11:27:59 +00:00
|
|
|
|
|
|
|
endmodule
|