pluto_hdl_adi/projects/common/a10gx/a10gx_system_assign.tcl

270 lines
18 KiB
Tcl
Raw Normal View History

2015-05-11 14:15:20 +00:00
# device settings
set_global_assignment -name FAMILY "Arria 10"
set_global_assignment -name DEVICE 10AX115S3F45I2SGE2
set_global_assignment -name TOP_LEVEL_ENTITY system_top
set_global_assignment -name SDC_FILE system_constr.sdc
set_global_assignment -name QSYS_FILE system_bd.qsys
set_global_assignment -name VERILOG_FILE system_top.v
# clocks and resets
2015-05-14 18:35:06 +00:00
set_location_assignment PIN_BD32 -to sys_clk
set_location_assignment PIN_BD27 -to sys_resetn
set_instance_assignment -name IO_STANDARD "1.8 V" -to sys_clk
set_instance_assignment -name IO_STANDARD "1.8 V" -to sys_resetn
2015-05-11 14:15:20 +00:00
# ddr3
2015-05-14 18:35:06 +00:00
set_location_assignment PIN_F34 -to ddr3_ref_clk
set_location_assignment PIN_F35 -to "ddr3_ref_clk(n)"
set_instance_assignment -name IO_STANDARD LVDS -to ddr3_ref_clk
set_instance_assignment -name INPUT_TERMINATION DIFFERENTIAL -to ddr3_ref_clk -disable
set_location_assignment PIN_R30 -to ddr3_clk_p ; ## 1.5 V V1 MEM_CLK_P
set_location_assignment PIN_R31 -to ddr3_clk_n ; ## 1.5 V V2 MEM_CLK_N
set_location_assignment PIN_U33 -to ddr3_cke_0 ; ## 1.5 V P5 MEM_ADDR_CMD20
set_location_assignment PIN_T33 -to ddr3_cke_1 ; ## 1.5 V M5 MEM_ADDR_CMD21
set_location_assignment PIN_T35 -to ddr3_reset_n ; ## 1.5 V K1 MEM_ADDR_CMD27
set_location_assignment PIN_R34 -to ddr3_cs_n_0 ; ## 1.5 V P1 MEM_ADDR_CMD22
set_location_assignment PIN_P34 -to ddr3_cs_n_1 ; ## 1.5 V R4 MEM_ADDR_CMD23
set_location_assignment PIN_G33 -to ddr3_cas_n ; ## 1.5 V L4 MEM_ADDR_CMD19
set_location_assignment PIN_F32 -to ddr3_ras_n ; ## 1.5 V L2 MEM_ADDR_CMD26
set_location_assignment PIN_T34 -to ddr3_we_n ; ## 1.5 V P2 MEM_ADDR_CMD28
set_location_assignment PIN_F33 -to ddr3_ba[0] ; ## 1.5 V M1 MEM_ADDR_CMD16
set_location_assignment PIN_G35 -to ddr3_ba[1] ; ## 1.5 V M2 MEM_ADDR_CMD17
set_location_assignment PIN_H35 -to ddr3_ba[2] ; ## 1.5 V N2 MEM_ADDR_CMD18
set_location_assignment PIN_M32 -to ddr3_a[0] ; ## 1.5 V F1 MEM_ADDR_CMD0
set_location_assignment PIN_L32 -to ddr3_a[1] ; ## 1.5 V H1 MEM_ADDR_CMD1
set_location_assignment PIN_N34 -to ddr3_a[2] ; ## 1.5 V F2 MEM_ADDR_CMD2
set_location_assignment PIN_M35 -to ddr3_a[3] ; ## 1.5 V G2 MEM_ADDR_CMD3
set_location_assignment PIN_L34 -to ddr3_a[4] ; ## 1.5 V H2 MEM_ADDR_CMD4
set_location_assignment PIN_K34 -to ddr3_a[5] ; ## 1.5 V J2 MEM_ADDR_CMD5
set_location_assignment PIN_M33 -to ddr3_a[6] ; ## 1.5 V K2 MEM_ADDR_CMD6
set_location_assignment PIN_L33 -to ddr3_a[7] ; ## 1.5 V G3 MEM_ADDR_CMD7
set_location_assignment PIN_J33 -to ddr3_a[8] ; ## 1.5 V J3 MEM_ADDR_CMD8
set_location_assignment PIN_J32 -to ddr3_a[9] ; ## 1.5 V L3 MEM_ADDR_CMD9
set_location_assignment PIN_H31 -to ddr3_a[10] ; ## 1.5 V E4 MEM_ADDR_CMD10
set_location_assignment PIN_J31 -to ddr3_a[11] ; ## 1.5 V F4 MEM_ADDR_CMD11
set_location_assignment PIN_H34 -to ddr3_a[12] ; ## 1.5 V G4 MEM_ADDR_CMD12
set_location_assignment PIN_H33 -to ddr3_a[13] ; ## 1.5 V H4 MEM_ADDR_CMD13
set_location_assignment PIN_G32 -to ddr3_a[14] ; ## 1.5 V J4 MEM_ADDR_CMD14
set_location_assignment PIN_E32 -to ddr3_a[15] ; ## 1.5 V K4 MEM_ADDR_CMD15
set_location_assignment PIN_N33 -to ddr3_odt_0 ; ## 1.5 V M4 MEM_ADDR_CMD24
set_location_assignment PIN_P33 -to ddr3_odt_1 ; ## 1.5 V R3 MEM_ADDR_CMD25
set_location_assignment PIN_J34 -to ddr3_rzq ; ## RZQ
set_location_assignment PIN_B26 -to ddr3_dqs_p[0] ; ## 1.5 V A6 MEM_DQSA_P0
set_location_assignment PIN_C26 -to ddr3_dqs_n[0] ; ## 1.5 V A7 MEM_DQSA_N0
set_location_assignment PIN_H28 -to ddr3_dqs_p[1] ; ## 1.5 V A2 MEM_DQSA_P1
set_location_assignment PIN_J27 -to ddr3_dqs_n[1] ; ## 1.5 V A3 MEM_DQSA_N1
set_location_assignment PIN_C30 -to ddr3_dqs_p[2] ; ## 1.5 V A14 MEM_DQSA_P2
set_location_assignment PIN_C29 -to ddr3_dqs_n[2] ; ## 1.5 V A15 MEM_DQSA_N2
set_location_assignment PIN_L30 -to ddr3_dqs_p[3] ; ## 1.5 V F18 MEM_DQSA_P3
set_location_assignment PIN_L29 -to ddr3_dqs_n[3] ; ## 1.5 V G18 MEM_DQSA_N3
set_location_assignment PIN_Y32 -to ddr3_dqs_p[4] ; ## 1.5 V H18 MEM_DQSB_P0
set_location_assignment PIN_AA32 -to ddr3_dqs_n[4] ; ## 1.5 V J18 MEM_DQSB_N0
set_location_assignment PIN_AJ32 -to ddr3_dqs_p[5] ; ## 1.5 V U18 MEM_DQSB_P1
set_location_assignment PIN_AJ31 -to ddr3_dqs_n[5] ; ## 1.5 V V18 MEM_DQSB_N1
set_location_assignment PIN_AA34 -to ddr3_dqs_p[6] ; ## 1.5 V V16 MEM_DQSB_P2
set_location_assignment PIN_AA33 -to ddr3_dqs_n[6] ; ## 1.5 V V17 MEM_DQSB_N2
set_location_assignment PIN_AF33 -to ddr3_dqs_p[7] ; ## 1.5 V V8 MEM_DQSB_P3
set_location_assignment PIN_AF34 -to ddr3_dqs_n[7] ; ## 1.5 V V9 MEM_DQSB_N3
set_location_assignment PIN_E26 -to ddr3_dm[0] ; ## 1.5 V B10 MEM_DMA0
set_location_assignment PIN_G27 -to ddr3_dm[1] ; ## 1.5 V C4 MEM_DMA1
set_location_assignment PIN_A29 -to ddr3_dm[2] ; ## 1.5 V B17 MEM_DMA2
set_location_assignment PIN_F30 -to ddr3_dm[3] ; ## 1.5 V F17 MEM_DMA3
set_location_assignment PIN_AB32 -to ddr3_dm[4] ; ## 1.5 V M16 MEM_DMB0
set_location_assignment PIN_AG31 -to ddr3_dm[5] ; ## 1.5 V U16 MEM_DMB1
set_location_assignment PIN_Y35 -to ddr3_dm[6] ; ## 1.5 V U11 MEM_DMB2
set_location_assignment PIN_AC34 -to ddr3_dm[7] ; ## 1.5 V U6 MEM_DMB3
set_location_assignment PIN_B28 -to ddr3_dq[0] ; ## 1.5 V A4 MEM_DQA0
set_location_assignment PIN_A28 -to ddr3_dq[1] ; ## 1.5 V B4 MEM_DQA1
set_location_assignment PIN_A27 -to ddr3_dq[2] ; ## 1.5 V B5 MEM_DQA2
set_location_assignment PIN_B27 -to ddr3_dq[3] ; ## 1.5 V B6 MEM_DQA3
set_location_assignment PIN_D27 -to ddr3_dq[4] ; ## 1.5 V A8 MEM_DQA4
set_location_assignment PIN_E27 -to ddr3_dq[5] ; ## 1.5 V B8 MEM_DQA5
set_location_assignment PIN_D26 -to ddr3_dq[6] ; ## 1.5 V B9 MEM_DQA6
set_location_assignment PIN_D28 -to ddr3_dq[7] ; ## 1.5 V A10 MEM_DQA7
set_location_assignment PIN_G25 -to ddr3_dq[8] ; ## 1.5 V B1 MEM_DQA8
set_location_assignment PIN_H25 -to ddr3_dq[9] ; ## 1.5 V B2 MEM_DQA9
set_location_assignment PIN_G26 -to ddr3_dq[10] ; ## 1.5 V C2 MEM_DQA10
set_location_assignment PIN_H26 -to ddr3_dq[11] ; ## 1.5 V C3 MEM_DQA11
set_location_assignment PIN_G28 -to ddr3_dq[12] ; ## 1.5 V E3 MEM_DQA12
set_location_assignment PIN_F27 -to ddr3_dq[13] ; ## 1.5 V D4 MEM_DQA13
set_location_assignment PIN_K27 -to ddr3_dq[14] ; ## 1.5 V D1 MEM_DQA14
set_location_assignment PIN_F28 -to ddr3_dq[15] ; ## 1.5 V D2 MEM_DQA15
set_location_assignment PIN_D31 -to ddr3_dq[16] ; ## 1.5 V A12 MEM_DQA16
set_location_assignment PIN_E31 -to ddr3_dq[17] ; ## 1.5 V B12 MEM_DQA17
set_location_assignment PIN_B31 -to ddr3_dq[18] ; ## 1.5 V B13 MEM_DQA18
set_location_assignment PIN_C31 -to ddr3_dq[19] ; ## 1.5 V B14 MEM_DQA19
set_location_assignment PIN_A30 -to ddr3_dq[20] ; ## 1.5 V C15 MEM_DQA20
set_location_assignment PIN_E30 -to ddr3_dq[21] ; ## 1.5 V A16 MEM_DQA21
set_location_assignment PIN_B30 -to ddr3_dq[22] ; ## 1.5 V B16 MEM_DQA22
set_location_assignment PIN_D29 -to ddr3_dq[23] ; ## 1.5 V A18 MEM_DQA23
set_location_assignment PIN_K30 -to ddr3_dq[24] ; ## 1.5 V C16 MEM_DQA24
set_location_assignment PIN_H30 -to ddr3_dq[25] ; ## 1.5 V D16 MEM_DQA25
set_location_assignment PIN_G30 -to ddr3_dq[26] ; ## 1.5 V E16 MEM_DQA26
set_location_assignment PIN_K31 -to ddr3_dq[27] ; ## 1.5 V F16 MEM_DQA27
set_location_assignment PIN_H29 -to ddr3_dq[28] ; ## 1.5 V D17 MEM_DQA28
set_location_assignment PIN_K29 -to ddr3_dq[29] ; ## 1.5 V C18 MEM_DQA29
set_location_assignment PIN_J29 -to ddr3_dq[30] ; ## 1.5 V D18 MEM_DQA30
set_location_assignment PIN_F29 -to ddr3_dq[31] ; ## 1.5 V E18 MEM_DQA31
set_location_assignment PIN_AC31 -to ddr3_dq[32] ; ## 1.5 V H16 MEM_DQB0
set_location_assignment PIN_AB31 -to ddr3_dq[33] ; ## 1.5 V J16 MEM_DQB1
set_location_assignment PIN_W31 -to ddr3_dq[34] ; ## 1.5 V K16 MEM_DQB2
set_location_assignment PIN_Y31 -to ddr3_dq[35] ; ## 1.5 V L16 MEM_DQB3
set_location_assignment PIN_AD31 -to ddr3_dq[36] ; ## 1.5 V H17 MEM_DQB4
set_location_assignment PIN_AD32 -to ddr3_dq[37] ; ## 1.5 V K17 MEM_DQB5
set_location_assignment PIN_AD33 -to ddr3_dq[38] ; ## 1.5 V K18 MEM_DQB6
set_location_assignment PIN_AA30 -to ddr3_dq[39] ; ## 1.5 V L18 MEM_DQB7
set_location_assignment PIN_AE31 -to ddr3_dq[40] ; ## 1.5 V M17 MEM_DQB8
set_location_assignment PIN_AE32 -to ddr3_dq[41] ; ## 1.5 V N18 MEM_DQB9
set_location_assignment PIN_AE30 -to ddr3_dq[42] ; ## 1.5 V P17 MEM_DQB10
set_location_assignment PIN_AF30 -to ddr3_dq[43] ; ## 1.5 V P18 MEM_DQB11
set_location_assignment PIN_AG33 -to ddr3_dq[44] ; ## 1.5 V R18 MEM_DQB12
set_location_assignment PIN_AG32 -to ddr3_dq[45] ; ## 1.5 V T16 MEM_DQB13
set_location_assignment PIN_AH33 -to ddr3_dq[46] ; ## 1.5 V T17 MEM_DQB14
set_location_assignment PIN_AH31 -to ddr3_dq[47] ; ## 1.5 V T18 MEM_DQB15
set_location_assignment PIN_U31 -to ddr3_dq[48] ; ## 1.5 V U15 MEM_DQB16
set_location_assignment PIN_W33 -to ddr3_dq[49] ; ## 1.5 V T14 MEM_DQB17
set_location_assignment PIN_W32 -to ddr3_dq[50] ; ## 1.5 V U14 MEM_DQB18
set_location_assignment PIN_V31 -to ddr3_dq[51] ; ## 1.5 V V14 MEM_DQB19
set_location_assignment PIN_Y34 -to ddr3_dq[52] ; ## 1.5 V T13 MEM_DQB20
set_location_assignment PIN_W35 -to ddr3_dq[53] ; ## 1.5 V T12 MEM_DQB21
set_location_assignment PIN_W34 -to ddr3_dq[54] ; ## 1.5 V U12 MEM_DQB22
set_location_assignment PIN_V34 -to ddr3_dq[55] ; ## 1.5 V V12 MEM_DQB23
set_location_assignment PIN_AH35 -to ddr3_dq[56] ; ## 1.5 V T10 MEM_DQB24
set_location_assignment PIN_AJ34 -to ddr3_dq[57] ; ## 1.5 V U10 MEM_DQB25
set_location_assignment PIN_AJ33 -to ddr3_dq[58] ; ## 1.5 V V10 MEM_DQB26
set_location_assignment PIN_AH34 -to ddr3_dq[59] ; ## 1.5 V T9 MEM_DQB27
set_location_assignment PIN_AD35 -to ddr3_dq[60] ; ## 1.5 V T8 MEM_DQB28
set_location_assignment PIN_AE34 -to ddr3_dq[61] ; ## 1.5 V U8 MEM_DQB29
set_location_assignment PIN_AC33 -to ddr3_dq[62] ; ## 1.5 V U7 MEM_DQB30
set_location_assignment PIN_AD34 -to ddr3_dq[63] ; ## 1.5 V V6 MEM_DQB31
## E2 MEM_DQA32 set_location_assignment PIN_J28 1.5 V
## G16 MEM_DQA33 set_location_assignment PIN_G31 1.5 V
## R16 MEM_DQB32 set_location_assignment PIN_AF32 1.5 V
## T6 MEM_DQB33 set_location_assignment PIN_AB33 1.5 V
## N4 MEM_ADDR_CMD29 set_location_assignment PIN_E35 1.5 V
## P4 MEM_ADDR_CMD30 set_location_assignment PIN_U32 1.5 V
## N3 MEM_ADDR_CMD31 set_location_assignment PIN_T32 1.5 V
## R6 MEM_DQ_ADDR_CMD0 set_location_assignment PIN_A32 1.5 V
## T1 MEM_DQ_ADDR_CMD1 set_location_assignment PIN_A33 1.5 V
## R2 MEM_DQ_ADDR_CMD2 set_location_assignment PIN_B32 1.5 V
## T2 MEM_DQ_ADDR_CMD3 set_location_assignment PIN_D32 1.5 V
## U2 MEM_DQ_ADDR_CMD4 set_location_assignment PIN_C33 1.5 V
## U3 MEM_DQ_ADDR_CMD5 set_location_assignment PIN_B33 1.5 V
## T4 MEM_DQ_ADDR_CMD6 set_location_assignment PIN_D34 1.5 V
## U4 MEM_DQ_ADDR_CMD7 set_location_assignment PIN_C35 1.5 V
## T5 MEM_DQ_ADDR_CMD8 set_location_assignment PIN_E34 1.5 V
## V5 MEM_DQS_ADDR_CMD_N set_location_assignment PIN_C34 1.5 V
## V4 MEM_DQS_ADDR_CMD_P set_location_assignment PIN_D33 1.5 V
## A11 MEM_QKA_P0 set_location_assignment PIN_C28 1.5 V
## B18 MEM_QKA_P1 set_location_assignment PIN_E29 1.5 V
## M18 MEM_QKB_P0 set_location_assignment PIN_Y30 1.5 V
## V13 MEM_QKB_P1 set_location_assignment PIN_V33 1.5 V
## H14 MEM_VREF set_location_assignment PIN_AB30
## J13 MEM_VREF set_location_assignment PIN_K32
## K14 MEM_VREF set_location_assignment PIN_R32
2015-05-11 14:15:20 +00:00
# ethernet interface
2015-05-14 18:35:06 +00:00
set_location_assignment PIN_BD24 -to eth_ref_clk
set_location_assignment PIN_BC24 -to "eth_ref_clk(n)"
set_location_assignment PIN_AV24 -to eth_rxd
set_location_assignment PIN_AW24 -to "eth_rxd(n)"
set_location_assignment PIN_BC23 -to eth_txd
set_location_assignment PIN_BD23 -to "eth_txd(n)"
2015-05-11 14:15:20 +00:00
2015-05-14 18:35:06 +00:00
set_instance_assignment -name IO_STANDARD LVDS -to eth_ref_clk
set_instance_assignment -name IO_STANDARD LVDS -to eth_rxd
set_instance_assignment -name IO_STANDARD LVDS -to eth_txd
2015-05-11 14:15:20 +00:00
2015-05-14 18:35:06 +00:00
set_instance_assignment -name INPUT_TERMINATION DIFFERENTIAL -to eth_ref_clk -disable
set_instance_assignment -name INPUT_TERMINATION DIFFERENTIAL -to eth_rxd -disable
2015-05-11 14:15:20 +00:00
2015-05-14 18:35:06 +00:00
set_location_assignment PIN_AW23 -to eth_resetn
set_location_assignment PIN_AF13 -to eth_mdc
set_location_assignment PIN_AL18 -to eth_mdio
set_location_assignment PIN_AG13 -to eth_intn
2015-05-11 14:15:20 +00:00
2015-05-14 18:35:06 +00:00
set_instance_assignment -name IO_STANDARD "1.8 V" -to eth_resetn
set_instance_assignment -name IO_STANDARD "1.8 V" -to eth_mdc
set_instance_assignment -name IO_STANDARD "1.8 V" -to eth_mdio
set_instance_assignment -name IO_STANDARD "1.8 V" -to eth_intn
2015-05-11 14:15:20 +00:00
2015-05-14 18:35:06 +00:00
set_instance_assignment -name GLOBAL_SIGNAL "GLOBAL CLOCK" -to eth_ref_clk
2015-05-11 14:15:20 +00:00
# leds
2015-05-14 18:35:06 +00:00
set_location_assignment PIN_L28 -to gpio_bd[0] ; ## led-g0-d10
set_location_assignment PIN_K26 -to gpio_bd[1] ; ## led-g1-d9
set_location_assignment PIN_K25 -to gpio_bd[2] ; ## led-g2-d8
set_location_assignment PIN_L25 -to gpio_bd[3] ; ## led-g3-d7
set_location_assignment PIN_J24 -to gpio_bd[4] ; ## led-g4-d6
set_location_assignment PIN_A19 -to gpio_bd[5] ; ## led-g5-d5
set_location_assignment PIN_C18 -to gpio_bd[6] ; ## led-g6-d4
set_location_assignment PIN_D18 -to gpio_bd[7] ; ## led-g7-d3
set_location_assignment PIN_L27 -to gpio_bd[8] ; ## led-r0-d10
set_location_assignment PIN_J26 -to gpio_bd[9] ; ## led-r1-d9
set_location_assignment PIN_K24 -to gpio_bd[10] ; ## led-r2-d8
set_location_assignment PIN_L23 -to gpio_bd[11] ; ## led-r3-d7
set_location_assignment PIN_B20 -to gpio_bd[12] ; ## led-r4-d6
set_location_assignment PIN_C19 -to gpio_bd[13] ; ## led-r5-d5
set_location_assignment PIN_D19 -to gpio_bd[14] ; ## led-r6-d4
set_location_assignment PIN_M23 -to gpio_bd[15] ; ## led-r7-d3
set_location_assignment PIN_A24 -to gpio_bd[16] ; ## dipsw0
set_location_assignment PIN_B23 -to gpio_bd[17] ; ## dipsw1
set_location_assignment PIN_A23 -to gpio_bd[18] ; ## dipsw2
set_location_assignment PIN_B22 -to gpio_bd[19] ; ## dipsw3
set_location_assignment PIN_A22 -to gpio_bd[20] ; ## dipsw4
set_location_assignment PIN_B21 -to gpio_bd[21] ; ## dipsw5
set_location_assignment PIN_C21 -to gpio_bd[22] ; ## dipsw6
set_location_assignment PIN_A20 -to gpio_bd[23] ; ## dipsw7
set_location_assignment PIN_T12 -to gpio_bd[24] ; ## pb0-s3
set_location_assignment PIN_U12 -to gpio_bd[25] ; ## pb1-s2
set_location_assignment PIN_U11 -to gpio_bd[26] ; ## pb2-s1
set_instance_assignment -name IO_STANDARD "1.8 V" -to gpio_bd[0]
set_instance_assignment -name IO_STANDARD "1.8 V" -to gpio_bd[1]
set_instance_assignment -name IO_STANDARD "1.8 V" -to gpio_bd[2]
set_instance_assignment -name IO_STANDARD "1.8 V" -to gpio_bd[3]
set_instance_assignment -name IO_STANDARD "1.8 V" -to gpio_bd[4]
set_instance_assignment -name IO_STANDARD "1.8 V" -to gpio_bd[5]
set_instance_assignment -name IO_STANDARD "1.8 V" -to gpio_bd[6]
set_instance_assignment -name IO_STANDARD "1.8 V" -to gpio_bd[7]
set_instance_assignment -name IO_STANDARD "1.8 V" -to gpio_bd[8]
set_instance_assignment -name IO_STANDARD "1.8 V" -to gpio_bd[9]
set_instance_assignment -name IO_STANDARD "1.8 V" -to gpio_bd[10]
set_instance_assignment -name IO_STANDARD "1.8 V" -to gpio_bd[11]
set_instance_assignment -name IO_STANDARD "1.8 V" -to gpio_bd[12]
set_instance_assignment -name IO_STANDARD "1.8 V" -to gpio_bd[13]
set_instance_assignment -name IO_STANDARD "1.8 V" -to gpio_bd[14]
set_instance_assignment -name IO_STANDARD "1.8 V" -to gpio_bd[15]
set_instance_assignment -name IO_STANDARD "1.8 V" -to gpio_bd[16]
set_instance_assignment -name IO_STANDARD "1.8 V" -to gpio_bd[17]
set_instance_assignment -name IO_STANDARD "1.8 V" -to gpio_bd[18]
set_instance_assignment -name IO_STANDARD "1.8 V" -to gpio_bd[19]
set_instance_assignment -name IO_STANDARD "1.8 V" -to gpio_bd[20]
set_instance_assignment -name IO_STANDARD "1.8 V" -to gpio_bd[21]
set_instance_assignment -name IO_STANDARD "1.8 V" -to gpio_bd[22]
set_instance_assignment -name IO_STANDARD "1.8 V" -to gpio_bd[23]
set_instance_assignment -name IO_STANDARD "1.8 V" -to gpio_bd[24]
set_instance_assignment -name IO_STANDARD "1.8 V" -to gpio_bd[25]
set_instance_assignment -name IO_STANDARD "1.8 V" -to gpio_bd[26]
2015-05-11 14:15:20 +00:00
# globals
set_global_assignment -name SYNCHRONIZER_IDENTIFICATION AUTO
set_global_assignment -name ENABLE_ADVANCED_IO_TIMING ON
set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER ON
set_global_assignment -name TIMEQUEST_DO_REPORT_TIMING ON
set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL ON
set_global_assignment -name TIMEQUEST_REPORT_SCRIPT system_timing.tcl
set_global_assignment -name ON_CHIP_BITSTREAM_DECOMPRESSION OFF