pluto_hdl_adi/library/util_clkdiv/util_clkdiv_constr.xdc

3 lines
150 B
Plaintext
Raw Normal View History

2017-01-11 16:11:53 +00:00
set_clock_groups -group [get_clocks clk_div_4_s] -group [get_clocks clk_div_2_s] -logically_exclusive
set_false_path -to [get_pins i_div_clk_gbuf/S*]