pluto_hdl_adi/library/util_adcfifo/util_adcfifo_constr.sdc

6 lines
169 B
Plaintext
Raw Normal View History

set_false_path -to [get_registers *adc_xfer_req_m_reg[0]*]
2016-04-20 19:57:25 +00:00
set_false_path -to [get_registers *adc_xfer_req_m[0]*]
2019-06-12 15:24:16 +00:00
set_false_path -to [get_registers *cdc_sync_stage1*]
2016-04-20 19:57:25 +00:00