pluto_hdl_adi/library/util_wfifo/util_wfifo_constr.xdc

10 lines
727 B
Plaintext
Raw Normal View History

2016-05-16 16:12:56 +00:00
set_property shreg_extract no [get_cells -hier -filter {name =~ *dout_enable_m*}]
set_property shreg_extract no [get_cells -hier -filter {name =~ *dout_req_t_m*}]
set_property shreg_extract no [get_cells -hier -filter {name =~ *din_ovf_m*}]
2016-05-16 16:12:56 +00:00
set_false_path -from [get_cells -hier -filter {name =~ *din_enable* && IS_SEQUENTIAL}] -to [get_cells -hier -filter {name =~ *dout_enable_m1* && IS_SEQUENTIAL}]
set_false_path -from [get_cells -hier -filter {name =~ *din_req_t* && IS_SEQUENTIAL}] -to [get_cells -hier -filter {name =~ *dout_req_t_m1* && IS_SEQUENTIAL}]
set_false_path -from [get_cells -hier -filter {name =~ *dout_ovf* && IS_SEQUENTIAL}] -to [get_cells -hier -filter {name =~ *din_ovf_m1* && IS_SEQUENTIAL}]