pluto_hdl_adi/library/util_rfifo/util_rfifo_constr.xdc

11 lines
895 B
Plaintext
Raw Normal View History

2016-05-16 14:45:33 +00:00
set_property shreg_extract no [get_cells -hier -filter {name =~ *din_enable_m*}]
set_property shreg_extract no [get_cells -hier -filter {name =~ *din_req_t_m*}]
set_property shreg_extract no [get_cells -hier -filter {name =~ *dout_unf_m*}]
set_false_path -from [get_cells -hier -filter {name =~ *dout_enable* && IS_SEQUENTIAL}] -to [get_cells -hier -filter {name =~ *din_enable_m1* && IS_SEQUENTIAL}]
set_false_path -from [get_cells -hier -filter {name =~ *dout_req_t* && IS_SEQUENTIAL}] -to [get_cells -hier -filter {name =~ *din_req_t_m1* && IS_SEQUENTIAL}]
2016-07-12 14:24:02 +00:00
set_false_path -from [get_cells -hier -filter {name =~ *dout_rinit* && IS_SEQUENTIAL}] -to [get_cells -hier -filter {name =~ *din_rinit* && IS_SEQUENTIAL}]
2016-05-16 14:45:33 +00:00
set_false_path -from [get_cells -hier -filter {name =~ *din_unf* && IS_SEQUENTIAL}] -to [get_cells -hier -filter {name =~ *dout_unf_m1* && IS_SEQUENTIAL}]