pluto_hdl_adi/projects/fmcomms5/zc706/system_bd.tcl

26 lines
959 B
Tcl
Raw Normal View History

###############################################################################
## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved.
### SPDX short identifier: ADIBSD
###############################################################################
2014-05-19 17:49:49 +00:00
source $ad_hdl_dir/projects/common/zc706/zc706_system_bd.tcl
source $ad_hdl_dir/projects/scripts/adi_pd.tcl
2014-05-19 17:49:49 +00:00
set mem_init_sys_path [get_env_param ADI_PROJECT_DIR ""]mem_init_sys.txt;
2019-06-28 08:41:21 +00:00
#system ID
ad_ip_parameter axi_sysid_0 CONFIG.ROM_ADDR_BITS 9
ad_ip_parameter rom_sys_0 CONFIG.PATH_TO_FILE "[pwd]/$mem_init_sys_path"
2019-06-28 08:41:21 +00:00
ad_ip_parameter rom_sys_0 CONFIG.ROM_ADDR_BITS 9
2020-09-28 21:10:35 +00:00
sysid_gen_sys_init_file
2019-06-28 08:41:21 +00:00
2017-04-21 12:10:44 +00:00
ad_ip_parameter sys_ps7 CONFIG.PCW_EN_CLK2_PORT 1
ad_ip_parameter sys_ps7 CONFIG.PCW_FPGA2_PERIPHERAL_FREQMHZ 200.0
ad_connect sys_dma_clk sys_ps7/FCLK_CLK2
source ../common/fmcomms5_bd.tcl
2017-03-15 16:06:49 +00:00
2017-04-21 12:10:44 +00:00
ad_ip_parameter axi_ad9361_0 CONFIG.ADC_INIT_DELAY 16
ad_ip_parameter axi_ad9361_1 CONFIG.ADC_INIT_DELAY 16
2017-03-15 16:06:49 +00:00