diff --git a/library/jesd204/axi_jesd204_tx/axi_jesd204_tx_constr.sdc b/library/jesd204/axi_jesd204_tx/axi_jesd204_tx_constr.sdc index 92279273d..eda724701 100644 --- a/library/jesd204/axi_jesd204_tx/axi_jesd204_tx_constr.sdc +++ b/library/jesd204/axi_jesd204_tx/axi_jesd204_tx_constr.sdc @@ -49,7 +49,7 @@ source "$script_dir/util_cdc_constr.tcl" util_cdc_sync_event_constr {*|jesd204_up_sysref:i_up_sysref|sync_event:i_cdc_sysref_event} util_cdc_sync_event_constr {*|jesd204_up_tx:i_up_tx|sync_event:i_cdc_manual_sync_request} util_cdc_sync_data_constr {*|jesd204_up_tx:i_up_tx|sync_data:i_cdc_status} -util_cdc_sync_bits_constr {*|jesd204_up_tx:i_up_tx|sync_bits:*.i_cdc_sync} +util_cdc_sync_bits_constr {*|jesd204_up_tx:i_up_tx|sync_bits:i_cdc_sync} set_false_path \ -from [get_registers {*|jesd204_up_common:i_up_common|up_reset_core}] \ diff --git a/library/jesd204/axi_jesd204_tx/axi_jesd204_tx_constr.xdc b/library/jesd204/axi_jesd204_tx/axi_jesd204_tx_constr.xdc index cb1ec1ca9..aa73cdfde 100644 --- a/library/jesd204/axi_jesd204_tx/axi_jesd204_tx_constr.xdc +++ b/library/jesd204/axi_jesd204_tx/axi_jesd204_tx_constr.xdc @@ -84,7 +84,7 @@ set_max_delay -datapath_only \ set_false_path \ -from $core_clk \ - -to [get_pins {i_up_tx/*.i_cdc_sync/cdc_sync_stage1_reg[0]/D}] + -to [get_pins {i_up_tx/i_cdc_sync/cdc_sync_stage1_reg[0]/D}] set_false_path \ -from [get_pins {i_up_common/up_reset_core_reg/C}] \