axi_ad9671: Fixed rx_sof pin name

main
Adrian Costina 2015-08-12 10:20:09 +03:00
parent 489b31e929
commit 0379279bd4
1 changed files with 1 additions and 1 deletions

View File

@ -91,7 +91,7 @@ add_interface_port xcvr_clk rx_clk clk Input 1
add_interface xcvr_data conduit end
set_interface_property xcvr_data associatedClock xcvr_clk
add_interface_port xcvr_data rx_data data Input 64*PCORE_4L_2L_N+64
add_interface_port xcvr_data rx_data_sof data_sof Input 1
add_interface_port xcvr_data rx_sof data_sof Input 1
add_interface xcvr_sync conduit end
set_interface_property xcvr_sync associatedClock xcvr_clk