diff --git a/projects/cn0540/common/cn0540_qsys.tcl b/projects/cn0540/common/cn0540_qsys.tcl new file mode 100755 index 000000000..3ae6e2cfa --- /dev/null +++ b/projects/cn0540/common/cn0540_qsys.tcl @@ -0,0 +1,117 @@ + +# receive dma + +add_instance axi_dmac_0 axi_dmac +set_instance_parameter_value axi_dmac_0 {DMA_TYPE_SRC} {1} +set_instance_parameter_value axi_dmac_0 {DMA_TYPE_DEST} {0} +set_instance_parameter_value axi_dmac_0 {CYCLIC} {0} +set_instance_parameter_value axi_dmac_0 {DMA_DATA_WIDTH_SRC} {32} +set_instance_parameter_value axi_dmac_0 {DMA_DATA_WIDTH_DEST} {64} + +# axi_spi_engine + +add_instance axi_spi_engine_0 axi_spi_engine +set_instance_parameter_value axi_spi_engine_0 {ASYNC_SPI_CLK} {1} +set_instance_parameter_value axi_spi_engine_0 {DATA_WIDTH} {32} +set_instance_parameter_value axi_spi_engine_0 {MM_IF_TYPE} {0} +set_instance_parameter_value axi_spi_engine_0 {NUM_OF_SDI} {1} +set_instance_parameter_value axi_spi_engine_0 {NUM_OFFLOAD} {1} + +# spi_engine_execution + +add_instance spi_engine_execution_0 spi_engine_execution +set_instance_parameter_value spi_engine_execution_0 {DATA_WIDTH} {32} +set_instance_parameter_value spi_engine_execution_0 {NUM_OF_SDI} {1} +set_instance_parameter_value spi_engine_execution_0 {SDI_DELAY} {0} + +# spi_engine_interconnect + +add_instance spi_engine_interconnect_0 spi_engine_interconnect +set_instance_parameter_value spi_engine_interconnect_0 {DATA_WIDTH} {32} +set_instance_parameter_value spi_engine_interconnect_0 {NUM_OF_SDI} {1} + +# spi_engine_offload + +add_instance spi_engine_offload_0 spi_engine_offload +set_instance_parameter_value spi_engine_offload_0 {ASYNC_TRIG} {1} +set_instance_parameter_value spi_engine_offload_0 {ASYNC_SPI_CLK} {0} +set_instance_parameter_value spi_engine_offload_0 {DATA_WIDTH} {32} +set_instance_parameter_value spi_engine_offload_0 {NUM_OF_SDI} {1} + +# exported interface + +add_interface cn0540_spi_sclk clock source +add_interface cn0540_spi_cs conduit end +add_interface cn0540_spi_sdi conduit end +add_interface cn0540_spi_sdo conduit end +add_interface cn0540_spi_trigger conduit end + +set_interface_property cn0540_spi_cs EXPORT_OF spi_engine_execution_0.if_cs +set_interface_property cn0540_spi_sclk EXPORT_OF spi_engine_execution_0.if_sclk +set_interface_property cn0540_spi_sdi EXPORT_OF spi_engine_execution_0.if_sdi +set_interface_property cn0540_spi_sdo EXPORT_OF spi_engine_execution_0.if_sdo +set_interface_property cn0540_spi_trigger EXPORT_OF spi_engine_offload_0.if_trigger + +# clocks + +add_connection sys_clk.clk axi_spi_engine_0.s_axi_clock +add_connection sys_clk.clk axi_dmac_0.s_axi_clock + +add_connection sys_dma_clk.clk spi_engine_execution_0.if_clk +add_connection sys_dma_clk.clk spi_engine_interconnect_0.if_clk +add_connection sys_dma_clk.clk axi_spi_engine_0.if_spi_clk +add_connection sys_dma_clk.clk spi_engine_offload_0.if_ctrl_clk +add_connection sys_dma_clk.clk spi_engine_offload_0.if_spi_clk +add_connection sys_dma_clk.clk axi_dmac_0.if_s_axis_aclk +add_connection sys_dma_clk.clk axi_dmac_0.m_dest_axi_clock + +# resets + +add_connection sys_clk.clk_reset axi_spi_engine_0.s_axi_reset +add_connection sys_clk.clk_reset axi_dmac_0.s_axi_reset + +add_connection axi_spi_engine_0.if_spi_resetn spi_engine_execution_0.if_resetn +add_connection axi_spi_engine_0.if_spi_resetn spi_engine_interconnect_0.if_resetn +add_connection axi_spi_engine_0.if_spi_resetn spi_engine_offload_0.if_spi_resetn + +add_connection sys_dma_clk.clk_reset axi_dmac_0.m_dest_axi_reset + +# interfaces + +add_connection spi_engine_interconnect_0.m_cmd spi_engine_execution_0.cmd +add_connection spi_engine_execution_0.sdi_data spi_engine_interconnect_0.m_sdi +add_connection spi_engine_interconnect_0.m_sdo spi_engine_execution_0.sdo_data +add_connection spi_engine_execution_0.sync spi_engine_interconnect_0.m_sync + +add_connection axi_spi_engine_0.cmd spi_engine_interconnect_0.s0_cmd +add_connection spi_engine_interconnect_0.s0_sdi axi_spi_engine_0.sdi_data +add_connection axi_spi_engine_0.sdo_data spi_engine_interconnect_0.s0_sdo +add_connection spi_engine_interconnect_0.s0_sync axi_spi_engine_0.sync + +add_connection spi_engine_offload_0.cmd spi_engine_interconnect_0.s1_cmd +add_connection spi_engine_interconnect_0.s1_sdi spi_engine_offload_0.sdi_data +add_connection spi_engine_offload_0.sdo_data spi_engine_interconnect_0.s1_sdo +add_connection spi_engine_interconnect_0.s1_sync spi_engine_offload_0.sync + +add_connection spi_engine_offload_0.ctrl_cmd_wr axi_spi_engine_0.offload0_cmd +add_connection spi_engine_offload_0.ctrl_sdo_wr axi_spi_engine_0.offload0_sdo +add_connection spi_engine_offload_0.if_ctrl_enable axi_spi_engine_0.if_offload0_enable +add_connection spi_engine_offload_0.if_ctrl_enabled axi_spi_engine_0.if_offload0_enabled +add_connection spi_engine_offload_0.if_ctrl_mem_reset axi_spi_engine_0.if_offload0_mem_reset +add_connection spi_engine_offload_0.status_sync axi_spi_engine_0.offload_sync + +add_connection spi_engine_offload_0.offload_sdi axi_dmac_0.s_axis + +# cpu interconnects + +ad_cpu_interconnect 0x00020000 axi_dmac_0.s_axi +ad_cpu_interconnect 0x00030000 axi_spi_engine_0.s_axi + +# dma interconnect +ad_dma_interconnect axi_dmac_0.m_dest_axi + +#interrupts + +ad_cpu_interrupt 4 axi_dmac_0.interrupt_sender +ad_cpu_interrupt 5 axi_spi_engine_0.interrupt_sender + diff --git a/projects/cn0540/de10nano/Makefile b/projects/cn0540/de10nano/Makefile new file mode 100755 index 000000000..6b61ce801 --- /dev/null +++ b/projects/cn0540/de10nano/Makefile @@ -0,0 +1,14 @@ +#################################################################################### +## Copyright 2019 - 2020(c) Analog Devices, Inc. +## Auto-generated, do not modify! +#################################################################################### + +PROJECT_NAME := cn0540_de10nano + +M_DEPS += ../common/cn0540_qsys.tcl +M_DEPS += ../../common/de10nano/de10nano_system_assign.tcl +M_DEPS += ../../common/de10nano/de10nano_system_qsys.tcl + +LIB_DEPS += axi_dmac + +include ../../scripts/project-intel.mk diff --git a/projects/cn0540/de10nano/system_constr.sdc b/projects/cn0540/de10nano/system_constr.sdc new file mode 100755 index 000000000..c08bbb592 --- /dev/null +++ b/projects/cn0540/de10nano/system_constr.sdc @@ -0,0 +1,8 @@ + +create_clock -period "20.000 ns" -name sys_clk [get_ports {sys_clk}] +create_clock -period "16.666 ns" -name usb1_clk [get_ports {usb1_clk}] + + +derive_pll_clocks +derive_clock_uncertainty + diff --git a/projects/cn0540/de10nano/system_project.tcl b/projects/cn0540/de10nano/system_project.tcl new file mode 100755 index 000000000..69684818b --- /dev/null +++ b/projects/cn0540/de10nano/system_project.tcl @@ -0,0 +1,57 @@ + +source ../../scripts/adi_env.tcl +source ../../scripts/adi_project_intel.tcl + +adi_project cn0540_de10nano + +source $ad_hdl_dir/projects/common/de10nano/de10nano_system_assign.tcl + +# files + +# SPI interface for ad7768-1 + +set_location_assignment PIN_AH12 -to cn0540_spi_sclk ; ## Arduino_IO13 +set_location_assignment PIN_AH11 -to cn0540_spi_miso ; ## Arduino_IO12 +set_location_assignment PIN_AG16 -to cn0540_spi_mosi ; ## Arduino_IO11 +set_location_assignment PIN_AF15 -to cn0540_spi_cs ; ## Arduino_IO10 + +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to cn0540_spi_sclk +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to cn0540_spi_miso +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to cn0540_spi_mosi +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to cn0540_spi_cs + +# I2C + +set_location_assignment PIN_AG11 -to i2c_scl ; ## Arduino_IO15 +set_location_assignment PIN_AH9 -to i2c_sda ; ## Arduino_IO14 + +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to i2c_scl +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to i2c_sda + +# reset and GPIO signals + +set_location_assignment PIN_AE15 -to cn0540_shutdown ; ## Arduino_IO9 +set_location_assignment PIN_AH8 -to cn0540_reset_adc ; ## Arduino_IO7 +set_location_assignment PIN_U13 -to cn0540_csb_aux ; ## Arduino_IO5 +set_location_assignment PIN_U14 -to cn0540_sw_ff ; ## Arduino_IO4 +set_location_assignment PIN_AG9 -to cn0540_drdy_aux ; ## Arduino_IO3 +set_location_assignment PIN_AF13 -to cn0540_blue_led ; ## Arduino_IO1 +set_location_assignment PIN_AG13 -to cn0540_yellow_led ; ## Arduino_IO0 + +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to cn0540_shutdown +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to cn0540_reset_adc +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to cn0540_csb_aux +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to cn0540_sw_ff +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to cn0540_drdy_aux +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to cn0540_blue_led +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to cn0540_yellow_led + +# synchronization and timing + +set_location_assignment PIN_AG8 -to cn0540_sync_in ; ## Arduino_IO6 +set_location_assignment PIN_AG10 -to cn0540_drdy ; ## Arduino_IO2 + +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to cn0540_sync_in +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to cn0540_drdy + +execute_flow -compile diff --git a/projects/cn0540/de10nano/system_qsys.tcl b/projects/cn0540/de10nano/system_qsys.tcl new file mode 100755 index 000000000..796372bf5 --- /dev/null +++ b/projects/cn0540/de10nano/system_qsys.tcl @@ -0,0 +1,6 @@ + +set dac_fifo_address_width 10 + +source $ad_hdl_dir/projects/common/de10nano/de10nano_system_qsys.tcl +source ../common/cn0540_qsys.tcl + diff --git a/projects/cn0540/de10nano/system_top.v b/projects/cn0540/de10nano/system_top.v new file mode 100755 index 000000000..2fe9d4b53 --- /dev/null +++ b/projects/cn0540/de10nano/system_top.v @@ -0,0 +1,302 @@ +// *************************************************************************** +// *************************************************************************** +// Copyright 2019 - 2020 (c) Analog Devices, Inc. All rights reserved. +// +// In this HDL repository, there are many different and unique modules, consisting +// of various HDL (Verilog or VHDL) components. The individual modules are +// developed independently, and may be accompanied by separate and unique license +// terms. +// +// The user should read each of these license terms, and understand the +// freedoms and responsabilities that he or she has by using this source/core. +// +// This core is distributed in the hope that it will be useful, but WITHOUT ANY +// WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR +// A PARTICULAR PURPOSE. +// +// Redistribution and use of source or resulting binaries, with or without modification +// of this file, are permitted under one of the following two license terms: +// +// 1. The GNU General Public License version 2 as published by the +// Free Software Foundation, which can be found in the top level directory +// of this repository (LICENSE_GPL2), and also online at: +// +// +// OR +// +// 2. An ADI specific BSD license, which can be found in the top level directory +// of this repository (LICENSE_ADIBSD), and also on-line at: +// https://github.com/analogdevicesinc/hdl/blob/master/LICENSE_ADIBSD +// This will allow to generate bit files and not release the source code, +// as long as it attaches to an ADI device. +// +// *************************************************************************** +// *************************************************************************** + +`timescale 1ns/100ps + +module system_top ( + + // clock and resets + + input sys_clk, + + // hps-ddr + + output [14:0] ddr3_a, + output [ 2:0] ddr3_ba, + output ddr3_reset_n, + output ddr3_ck_p, + output ddr3_ck_n, + output ddr3_cke, + output ddr3_cs_n, + output ddr3_ras_n, + output ddr3_cas_n, + output ddr3_we_n, + inout [31:0] ddr3_dq, + inout [ 3:0] ddr3_dqs_p, + inout [ 3:0] ddr3_dqs_n, + output [ 3:0] ddr3_dm, + output ddr3_odt, + input ddr3_rzq, + + // hps-ethernet + + output eth1_tx_clk, + output eth1_tx_ctl, + output [ 3:0] eth1_tx_d, + input eth1_rx_clk, + input eth1_rx_ctl, + input [ 3:0] eth1_rx_d, + output eth1_mdc, + inout eth1_mdio, + + // hps-sdio + + output sdio_clk, + inout sdio_cmd, + inout [ 3:0] sdio_d, + + // hps-spim1 + + output spim1_ss0, + output spim1_clk, + output spim1_mosi, + input spim1_miso, + + // hps-usb + + input usb1_clk, + output usb1_stp, + input usb1_dir, + input usb1_nxt, + inout [ 7:0] usb1_d, + + // hps-uart + + input uart0_rx, + output uart0_tx, + + // board gpio + + output [ 7:0] gpio_bd_o, + input [ 5:0] gpio_bd_i, + + // hdmi + + output hdmi_out_clk, + output hdmi_vsync, + output hdmi_hsync, + output hdmi_data_e, + output [ 23:0] hdmi_data, + + inout hdmi_i2c_scl, + inout hdmi_i2c_sda, + + + // cn0540 + + inout i2c_sda, + inout i2c_scl, + + input cn0540_spi_miso, + output cn0540_spi_mosi, + output cn0540_spi_sclk, + output cn0540_spi_cs, + input cn0540_drdy, + + output cn0540_reset_adc, + output cn0540_shutdown, + output cn0540_csb_aux, + input cn0540_sw_ff, + output cn0540_drdy_aux, + output cn0540_blue_led, + output cn0540_yellow_led, + output cn0540_sync_in, + + output ltc2308_cs, + output ltc2308_sclk, + output ltc2308_mosi, + input ltc2308_miso +); + + // internal signals + + wire sys_resetn; + wire [63:0] gpio_i; + wire [63:0] gpio_o; + + wire i2c1_scl; + wire i2c1_scl_oe; + wire i2c1_sda; + wire i2c1_sda_oe; + + wire i2c0_out_data; + wire i2c0_sda; + wire i2c0_out_clk; + wire i2c0_scl_in_clk; + + // instantiations + + // unused + assign gpio_i[63:42] = gpio_o[63:42]; + + // GPIO outputs + assign ltc2308_cs = gpio_o[41]; + assign cn0540_blue_led = gpio_o[40]; + assign cn0540_yellow_led = gpio_o[39]; + assign cn0540_shutdown = gpio_o[36]; + assign cn0540_drdy_aux = gpio_o[35]; + assign cn0540_sync_in = gpio_o[33]; + assign cn0540_csb_aux = gpio_o[34]; + assign cn0540_reset_adc = gpio_o[32]; + + assign gpio_bd_o[7:0] = gpio_o[7:0]; + + // GPIO inputs + assign gpio_i[37] = cn0540_drdy; + assign gpio_i[38] = cn0540_sw_ff; + + assign gpio_i[31:14] = gpio_o[31:14]; + assign gpio_i[13:8] = gpio_bd_i[5:0]; + + + // IO Buffers for I2C + + ALT_IOBUF scl_iobuf ( + .i(1'b0), + .oe(i2c1_scl_oe), + .o(i2c1_scl), + .io(i2c_scl)); + + ALT_IOBUF sda_iobuf ( + .i(1'b0), + .oe(i2c1_sda_oe), + .o(i2c1_sda), + .io(i2c_sda)); + + ALT_IOBUF scl_video_iobuf ( + .i(1'b0), + .oe(i2c0_out_clk), + .o(i2c0_scl_in_clk), + .io(hdmi_i2c_scl)); + + ALT_IOBUF sda_video_iobuf ( + .i(1'b0), + .oe(i2c0_out_data), + .o(i2c0_sda), + .io(hdmi_i2c_sda)); + + system_bd i_system_bd ( + .sys_clk_clk (sys_clk), + .sys_hps_h2f_reset_reset_n (sys_resetn), + .sys_hps_memory_mem_a (ddr3_a), + .sys_hps_memory_mem_ba (ddr3_ba), + .sys_hps_memory_mem_ck (ddr3_ck_p), + .sys_hps_memory_mem_ck_n (ddr3_ck_n), + .sys_hps_memory_mem_cke (ddr3_cke), + .sys_hps_memory_mem_cs_n (ddr3_cs_n), + .sys_hps_memory_mem_ras_n (ddr3_ras_n), + .sys_hps_memory_mem_cas_n (ddr3_cas_n), + .sys_hps_memory_mem_we_n (ddr3_we_n), + .sys_hps_memory_mem_reset_n (ddr3_reset_n), + .sys_hps_memory_mem_dq (ddr3_dq), + .sys_hps_memory_mem_dqs (ddr3_dqs_p), + .sys_hps_memory_mem_dqs_n (ddr3_dqs_n), + .sys_hps_memory_mem_odt (ddr3_odt), + .sys_hps_memory_mem_dm (ddr3_dm), + .sys_hps_memory_oct_rzqin (ddr3_rzq), + .sys_rst_reset_n (sys_resetn), + .sys_hps_i2c0_out_data (i2c0_out_data), + .sys_hps_i2c0_sda (i2c0_sda), + .sys_hps_i2c0_clk_clk (i2c0_out_clk), + .sys_hps_i2c0_scl_in_clk (i2c0_scl_in_clk), + .sys_hps_hps_io_hps_io_emac1_inst_TX_CLK (eth1_tx_clk), + .sys_hps_hps_io_hps_io_emac1_inst_TXD0 (eth1_tx_d[0]), + .sys_hps_hps_io_hps_io_emac1_inst_TXD1 (eth1_tx_d[1]), + .sys_hps_hps_io_hps_io_emac1_inst_TXD2 (eth1_tx_d[2]), + .sys_hps_hps_io_hps_io_emac1_inst_TXD3 (eth1_tx_d[3]), + .sys_hps_hps_io_hps_io_emac1_inst_RXD0 (eth1_rx_d[0]), + .sys_hps_hps_io_hps_io_emac1_inst_MDIO (eth1_mdio), + .sys_hps_hps_io_hps_io_emac1_inst_MDC (eth1_mdc), + .sys_hps_hps_io_hps_io_emac1_inst_RX_CTL (eth1_rx_ctl), + .sys_hps_hps_io_hps_io_emac1_inst_TX_CTL (eth1_tx_ctl), + .sys_hps_hps_io_hps_io_emac1_inst_RX_CLK (eth1_rx_clk), + .sys_hps_hps_io_hps_io_emac1_inst_RXD1 (eth1_rx_d[1]), + .sys_hps_hps_io_hps_io_emac1_inst_RXD2 (eth1_rx_d[2]), + .sys_hps_hps_io_hps_io_emac1_inst_RXD3 (eth1_rx_d[3]), + .sys_hps_hps_io_hps_io_sdio_inst_CMD (sdio_cmd), + .sys_hps_hps_io_hps_io_sdio_inst_D0 (sdio_d[0]), + .sys_hps_hps_io_hps_io_sdio_inst_D1 (sdio_d[1]), + .sys_hps_hps_io_hps_io_sdio_inst_CLK (sdio_clk), + .sys_hps_hps_io_hps_io_sdio_inst_D2 (sdio_d[2]), + .sys_hps_hps_io_hps_io_sdio_inst_D3 (sdio_d[3]), + .sys_hps_hps_io_hps_io_usb1_inst_D0 (usb1_d[0]), + .sys_hps_hps_io_hps_io_usb1_inst_D1 (usb1_d[1]), + .sys_hps_hps_io_hps_io_usb1_inst_D2 (usb1_d[2]), + .sys_hps_hps_io_hps_io_usb1_inst_D3 (usb1_d[3]), + .sys_hps_hps_io_hps_io_usb1_inst_D4 (usb1_d[4]), + .sys_hps_hps_io_hps_io_usb1_inst_D5 (usb1_d[5]), + .sys_hps_hps_io_hps_io_usb1_inst_D6 (usb1_d[6]), + .sys_hps_hps_io_hps_io_usb1_inst_D7 (usb1_d[7]), + .sys_hps_hps_io_hps_io_usb1_inst_CLK (usb1_clk), + .sys_hps_hps_io_hps_io_usb1_inst_STP (usb1_stp), + .sys_hps_hps_io_hps_io_usb1_inst_DIR (usb1_dir), + .sys_hps_hps_io_hps_io_usb1_inst_NXT (usb1_nxt), + .sys_hps_hps_io_hps_io_uart0_inst_RX (uart0_rx), + .sys_hps_hps_io_hps_io_uart0_inst_TX (uart0_tx), + .sys_hps_hps_io_hps_io_spim1_inst_CLK (spim1_clk), + .sys_hps_hps_io_hps_io_spim1_inst_MOSI (spim1_mosi), + .sys_hps_hps_io_hps_io_spim1_inst_MISO (spim1_miso), + .sys_hps_hps_io_hps_io_spim1_inst_SS0 (spim1_ss0), + .sys_hps_i2c1_sda (i2c1_sda), + .sys_hps_i2c1_out_data (i2c1_sda_oe), + .sys_hps_i2c1_clk_clk (i2c1_scl_oe), + .sys_hps_i2c1_scl_in_clk (i2c1_scl), + .sys_gpio_bd_in_port (gpio_i[31:0]), + .sys_gpio_bd_out_port (gpio_o[31:0]), + .sys_gpio_in_export (gpio_i[63:32]), + .sys_gpio_out_export (gpio_o[63:32]), + .cn0540_spi_sdo_sdo (cn0540_spi_mosi), + .cn0540_spi_sdi_sdi (cn0540_spi_miso), + .cn0540_spi_cs_cs (cn0540_spi_cs), + .cn0540_spi_sclk_clk (cn0540_spi_sclk), + .cn0540_spi_trigger_trigger (cn0540_drdy), + .sys_spi_MISO (1'b0), + .sys_spi_MOSI (), + .sys_spi_SCLK (), + .sys_spi_SS_n (), + .ltc2308_spi_MISO (ltc2308_miso), + .ltc2308_spi_MOSI (ltc2308_mosi), + .ltc2308_spi_SCLK (ltc2308_sclk), + .ltc2308_spi_SS_n (), + .axi_hdmi_tx_0_hdmi_if_h_clk (hdmi_out_clk), + .axi_hdmi_tx_0_hdmi_if_h24_hsync (hdmi_hsync), + .axi_hdmi_tx_0_hdmi_if_h24_vsync (hdmi_vsync), + .axi_hdmi_tx_0_hdmi_if_h24_data_e (hdmi_data_e), + .axi_hdmi_tx_0_hdmi_if_h24_data (hdmi_data)); + +endmodule + +// *************************************************************************** +// *************************************************************************** diff --git a/projects/common/de10nano/de10nano_system_assign.tcl b/projects/common/de10nano/de10nano_system_assign.tcl index 953838d50..b20406233 100644 --- a/projects/common/de10nano/de10nano_system_assign.tcl +++ b/projects/common/de10nano/de10nano_system_assign.tcl @@ -1,6 +1,7 @@ # de10nano # clocks (V11, Y13, E11 - PL 50MHz) # clocks (E20, D20 - HPS 25MHz) +# clocks (G4 - HPS USB 60MHz) set_location_assignment PIN_V11 -to sys_clk set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to sys_clk diff --git a/projects/common/de10nano/de10nano_system_qsys.tcl b/projects/common/de10nano/de10nano_system_qsys.tcl index d1d7aa3b1..dc32d1070 100644 --- a/projects/common/de10nano/de10nano_system_qsys.tcl +++ b/projects/common/de10nano/de10nano_system_qsys.tcl @@ -37,6 +37,8 @@ set_instance_parameter_value sys_hps {UART1_PinMuxing} {Unused} set_instance_parameter_value sys_hps {UART1_Mode} {N/A} set_instance_parameter_value sys_hps {I2C0_PinMuxing} {FPGA} set_instance_parameter_value sys_hps {I2C0_Mode} {Full} +set_instance_parameter_value sys_hps {I2C1_PinMuxing} {FPGA} +set_instance_parameter_value sys_hps {I2C1_Mode} {Full} set_instance_parameter_value sys_hps {desired_cfg_clk_mhz} {80.0} set_instance_parameter_value sys_hps {S2FCLK_USER0CLK_Enable} {1} set_instance_parameter_value sys_hps {S2FCLK_USER1CLK_Enable} {1} @@ -105,6 +107,12 @@ add_interface sys_hps_i2c0_clk clock source set_interface_property sys_hps_i2c0_clk EXPORT_OF sys_hps.i2c0_clk add_interface sys_hps_i2c0_scl_in clock sink set_interface_property sys_hps_i2c0_scl_in EXPORT_OF sys_hps.i2c0_scl_in +add_interface sys_hps_i2c1 conduit end +set_interface_property sys_hps_i2c1 EXPORT_OF sys_hps.i2c1 +add_interface sys_hps_i2c1_clk clock source +set_interface_property sys_hps_i2c1_clk EXPORT_OF sys_hps.i2c1_clk +add_interface sys_hps_i2c1_scl_in clock sink +set_interface_property sys_hps_i2c1_scl_in EXPORT_OF sys_hps.i2c1_scl_in # cpu/hps handling @@ -204,8 +212,8 @@ set_interface_property sys_spi EXPORT_OF sys_spi.external add_instance ltc2308_spi altera_avalon_spi set_instance_parameter_value ltc2308_spi {clockPhase} {0} -set_instance_parameter_value ltc2308_spi {clockPolarity} {1} -set_instance_parameter_value ltc2308_spi {dataWidth} {8} +set_instance_parameter_value ltc2308_spi {clockPolarity} {0} +set_instance_parameter_value ltc2308_spi {dataWidth} {12} set_instance_parameter_value ltc2308_spi {masterSPI} {1} set_instance_parameter_value ltc2308_spi {numberOfSlaves} {1} set_instance_parameter_value ltc2308_spi {targetClockRate} {50000000.0}