adrv9009/adrv9371x/fmcomms2:Drop usage of ad_iobuf on non-bidirectional IOs

Some projects use the ad_iobuf on IOs that are not bidirectional
producing synthesis warnings.

The change fixes warnings like:
[Synth 8-6104] Input port 'gpio_bd_i' has an internal driver
[Synth 8-6104] Input port 'gpio_status' has an internal driver
main
Laszlo Nagy 2018-08-09 10:18:15 +01:00 committed by Laszlo Nagy
parent fa7c85a9eb
commit 05789e8978
3 changed files with 16 additions and 24 deletions

View File

@ -199,14 +199,9 @@ module system_top (
adrv9009_gpio_16, // 33
adrv9009_gpio_18})); // 32
ad_iobuf #(.DATA_WIDTH(21)) i_iobuf_bd (
.dio_t (gpio_t[20:0]),
.dio_i (gpio_o[20:0]),
.dio_o (gpio_i[20:0]),
.dio_p (gpio_bd));
assign gpio_bd_i = gpio_bd[20:8];
assign gpio_bd_o = gpio_bd[ 7:0];
assign gpio_i[ 7: 0] = gpio_o[ 7: 0];
assign gpio_i[20: 8] = gpio_bd_i;
assign gpio_bd_o = gpio_o[ 7: 0];
assign spi_csn_ad9528 = spi_csn[0];
assign spi_csn_adrv9009 = spi_csn[1];

View File

@ -179,14 +179,12 @@ module system_top (
ad9371_gpio_16, // 33
ad9371_gpio_18})); // 32
ad_iobuf #(.DATA_WIDTH(21)) i_iobuf_bd (
.dio_t (gpio_t[20:0]),
.dio_i (gpio_o[20:0]),
.dio_o (gpio_i[20:0]),
.dio_p (gpio_bd));
assign gpio_i[ 7: 0] = gpio_o[ 7: 0];
assign gpio_i[20: 8] = gpio_bd_i;
assign gpio_i[31:21] = gpio_o[31:21];
assign gpio_i[94:60] = gpio_o[94:60];
assign gpio_bd_i = gpio_bd[20:8];
assign gpio_bd_o = gpio_bd[ 7:0];
assign gpio_bd_o = gpio_o[ 7: 0];
assign spi_csn_ad9528 = spi_csn[0];
assign spi_csn_ad9371 = spi_csn[1];

View File

@ -119,15 +119,10 @@ module system_top (
// instantiations
ad_iobuf #(.DATA_WIDTH(15)) i_iobuf (
.dio_t (gpio_t[46:32]),
.dio_i (gpio_o[46:32]),
.dio_o (gpio_i[46:32]),
.dio_p ({ gpio_resetb,
gpio_sync,
gpio_en_agc,
gpio_ctl,
gpio_status}));
assign gpio_resetb = gpio_o[46:46];
assign gpio_sync = gpio_o[45:45];
assign gpio_en_agc = gpio_o[44:44];
assign gpio_ctl = gpio_o[43:40];
ad_iobuf #(.DATA_WIDTH(17)) i_iobuf_bd (
.dio_t (gpio_t[16:0]),
@ -135,6 +130,10 @@ module system_top (
.dio_o (gpio_i[16:0]),
.dio_p (gpio_bd));
assign gpio_i[63:40] = gpio_o[63:40];
assign gpio_i[39:32] = gpio_status;
assign gpio_i[31:17] = gpio_0[31:17];
system_wrapper i_system_wrapper (
.c0_ddr4_act_n (ddr4_act_n),
.c0_ddr4_adr (ddr4_addr),