diff --git a/projects/imageon/zc706/system_constr.xdc b/projects/imageon/zc706/system_constr.xdc index 9d024d35d..9b1b1257d 100644 --- a/projects/imageon/zc706/system_constr.xdc +++ b/projects/imageon/zc706/system_constr.xdc @@ -50,7 +50,7 @@ set_property -dict {PACKAGE_PIN AG15 IOSTANDARD LVCMOS25} [get_ports hd # clock definition -create_clock -period 6.667 -name hdmi_rx_clk [get_ports hdmi_rx_clk] +create_clock -period 6.000 -name hdmi_rx_clk [get_ports hdmi_rx_clk] # default constraints diff --git a/projects/imageon/zed/system_constr.xdc b/projects/imageon/zed/system_constr.xdc index 220b0da90..f483e514e 100644 --- a/projects/imageon/zed/system_constr.xdc +++ b/projects/imageon/zed/system_constr.xdc @@ -50,7 +50,7 @@ set_property -dict {PACKAGE_PIN N20 IOSTANDARD LVCMOS25} [get_ports hd # clock definition -create_clock -period 6.667 -name hdmi_rx_clk [get_ports hdmi_rx_clk] +create_clock -period 6.000 -name hdmi_rx_clk [get_ports hdmi_rx_clk] # i2s