Make: Update Make files

main
Istvan Csomortani 2015-09-25 18:21:49 +03:00
parent 1604e88242
commit 07e2d281c0
6 changed files with 31 additions and 9 deletions

View File

@ -21,6 +21,7 @@ M_DEPS += ../common/up_adc_common.v
M_DEPS += ../common/up_adc_channel.v
M_DEPS += ../common/ad_pnmon.v
M_DEPS += ../common/up_axi.v
M_DEPS += ../common/ad_axi_ip_constr.xdc
M_DEPS += axi_ad9434_if.v
M_DEPS += axi_ad9434_pnmon.v
M_DEPS += axi_ad9434_core.v

View File

@ -20,6 +20,7 @@ M_DEPS += ../common/up_delay_cntrl.v
M_DEPS += ../common/up_adc_common.v
M_DEPS += ../common/up_adc_channel.v
M_DEPS += ../common/up_axi.v
M_DEPS += ../common/ad_axi_ip_constr.xdc
M_DEPS += axi_ad9467_pnmon.v
M_DEPS += axi_ad9467_if.v
M_DEPS += axi_ad9467_channel.v

View File

@ -27,6 +27,7 @@ M_DEPS += splitter.v
M_DEPS += response_generator.v
M_DEPS += axi_dmac.v
M_DEPS += axi_dmac_constr.ttcl
M_DEPS += bd/bd.tcl
M_DEPS += ../util_axis_resize/util_axis_resize.xpr
M_DEPS += ../util_axis_fifo/util_axis_fifo.xpr

View File

@ -28,6 +28,8 @@ M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
M_DEPS += ../../../library/util_jesd_gt/util_jesd_gt.xpr
M_VIVADO := vivado -mode batch -source
@ -61,6 +63,8 @@ clean-all:clean
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/util_bsplit clean
make -C ../../../library/util_cpack clean
make -C ../../../library/util_jesd_gt clean
fmcadc4_zc706.sdk/system_top.hdf: $(M_DEPS)
@ -77,6 +81,8 @@ lib:
make -C ../../../library/axi_jesd_gt
make -C ../../../library/axi_spdif_tx
make -C ../../../library/util_bsplit
make -C ../../../library/util_cpack
make -C ../../../library/util_jesd_gt
####################################################################################
####################################################################################

View File

@ -23,8 +23,9 @@ M_DEPS += ../../../library/axi_ad9625/axi_ad9625.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/util_adcfifo/util_adcfifo.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
M_DEPS += ../../../library/util_dacfifo/util_dacfifo.xpr
M_DEPS += ../../../library/util_jesd_gt/util_jesd_gt.xpr
M_VIVADO := vivado -mode batch -source
@ -54,8 +55,9 @@ clean-all:clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/util_adcfifo clean
make -C ../../../library/util_bsplit clean
make -C ../../../library/util_cpack clean
make -C ../../../library/util_dacfifo clean
make -C ../../../library/util_jesd_gt clean
fmcadc5_vc707.sdk/system_top.hdf: $(M_DEPS)
@ -68,8 +70,9 @@ lib:
make -C ../../../library/axi_dmac
make -C ../../../library/axi_jesd_gt
make -C ../../../library/util_adcfifo
make -C ../../../library/util_bsplit
make -C ../../../library/util_cpack
make -C ../../../library/util_dacfifo
make -C ../../../library/util_jesd_gt
####################################################################################
####################################################################################

View File

@ -18,6 +18,7 @@ M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += system_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_plddr3.tcl
M_DEPS += ../../common/xilinx/sys_dmafifo.tcl
M_DEPS += ../common/fmcomms7_bd.tcl
M_DEPS += ../../common/zc706/zc706_system_mig.prj
M_DEPS += ../../../library/axi_ad9144/axi_ad9144.xpr
@ -28,8 +29,11 @@ M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/util_ccat/util_ccat.xpr
M_DEPS += ../../../library/util_adcfifo/util_adcfifo.xpr
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
M_DEPS += ../../../library/util_dacfifo/util_dacfifo.xpr
M_DEPS += ../../../library/util_jesd_gt/util_jesd_gt.xpr
M_DEPS += ../../../library/util_upack/util_upack.xpr
M_VIVADO := vivado -mode batch -source
@ -63,8 +67,11 @@ clean-all:clean
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/util_bsplit clean
make -C ../../../library/util_ccat clean
make -C ../../../library/util_adcfifo clean
make -C ../../../library/util_cpack clean
make -C ../../../library/util_dacfifo clean
make -C ../../../library/util_jesd_gt clean
make -C ../../../library/util_upack clean
fmcomms7_zc706.sdk/system_top.hdf: $(M_DEPS)
@ -81,8 +88,11 @@ lib:
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_jesd_gt
make -C ../../../library/axi_spdif_tx
make -C ../../../library/util_bsplit
make -C ../../../library/util_ccat
make -C ../../../library/util_adcfifo
make -C ../../../library/util_cpack
make -C ../../../library/util_dacfifo
make -C ../../../library/util_jesd_gt
make -C ../../../library/util_upack
####################################################################################
####################################################################################