diff --git a/library/Makefile b/library/Makefile index 983870d4f..9f733ebdc 100644 --- a/library/Makefile +++ b/library/Makefile @@ -18,6 +18,7 @@ clean: make -C axi_ad9250 clean make -C axi_ad9265 clean make -C axi_ad9361 clean + make -C axi_ad9371 clean make -C axi_ad9434 clean make -C axi_ad9467 clean make -C axi_ad9625 clean @@ -86,6 +87,7 @@ lib: -make -C axi_ad9250 -make -C axi_ad9265 -make -C axi_ad9361 + -make -C axi_ad9371 -make -C axi_ad9434 -make -C axi_ad9467 -make -C axi_ad9625 diff --git a/library/axi_ad9371/Makefile b/library/axi_ad9371/Makefile new file mode 100644 index 000000000..61d7ca6e1 --- /dev/null +++ b/library/axi_ad9371/Makefile @@ -0,0 +1,65 @@ +#################################################################################### +#################################################################################### +## Copyright 2011(c) Analog Devices, Inc. +## Auto-generated, do not modify! +#################################################################################### +#################################################################################### + +M_DEPS := axi_ad9371_ip.tcl +M_DEPS += ../scripts/adi_env.tcl +M_DEPS += ../scripts/adi_ip.tcl +M_DEPS += ../common/ad_axi_ip_constr.xdc +M_DEPS += ../common/ad_rst.v +M_DEPS += ../common/ad_mul.v +M_DEPS += ../common/ad_dds_sine.v +M_DEPS += ../common/ad_dds_1.v +M_DEPS += ../common/ad_dds.v +M_DEPS += ../common/ad_datafmt.v +M_DEPS += ../common/ad_dcfilter.v +M_DEPS += ../common/ad_iqcor.v +M_DEPS += ../common/up_axi.v +M_DEPS += ../common/up_xfer_cntrl.v +M_DEPS += ../common/up_xfer_status.v +M_DEPS += ../common/up_clock_mon.v +M_DEPS += ../common/up_adc_common.v +M_DEPS += ../common/up_adc_channel.v +M_DEPS += ../common/up_dac_common.v +M_DEPS += ../common/up_dac_channel.v +M_DEPS += axi_ad9371_if.v +M_DEPS += axi_ad9371_rx_channel.v +M_DEPS += axi_ad9371_rx.v +M_DEPS += axi_ad9371_rx_os.v +M_DEPS += axi_ad9371_tx_channel.v +M_DEPS += axi_ad9371_tx.v +M_DEPS += axi_ad9371.v + +M_VIVADO := vivado -mode batch -source + +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += component.xml +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += .Xil + + + +.PHONY: all clean clean-all +all: axi_ad9371.xpr + + +clean:clean-all + + +clean-all: + rm -rf $(M_FLIST) + + +axi_ad9371.xpr: $(M_DEPS) + rm -rf $(M_FLIST) + $(M_VIVADO) axi_ad9371_ip.tcl >> axi_ad9371_ip.log 2>&1 + +#################################################################################### +#################################################################################### diff --git a/library/util_rfifo/Makefile b/library/util_rfifo/Makefile index eab393fd5..b4c7de9fa 100644 --- a/library/util_rfifo/Makefile +++ b/library/util_rfifo/Makefile @@ -8,6 +8,7 @@ M_DEPS := util_rfifo_ip.tcl M_DEPS += ../scripts/adi_env.tcl M_DEPS += ../scripts/adi_ip.tcl +M_DEPS += ../common/ad_mem.v M_DEPS += util_rfifo.v M_VIVADO := vivado -mode batch -source diff --git a/projects/Makefile b/projects/Makefile index b326e0903..ee568616a 100644 --- a/projects/Makefile +++ b/projects/Makefile @@ -13,6 +13,7 @@ all: -make -C ad9434_fmc all -make -C ad9467_fmc all -make -C ad9739a_fmc all + -make -C adrv9371x all -make -C adv7511 all -make -C arradio all -make -C cftl_cip all @@ -46,6 +47,7 @@ clean: make -C ad9434_fmc clean make -C ad9467_fmc clean make -C ad9739a_fmc clean + make -C adrv9371x clean make -C adv7511 clean make -C arradio clean make -C cftl_cip clean @@ -79,6 +81,7 @@ clean-all: make -C ad9434_fmc clean-all make -C ad9467_fmc clean-all make -C ad9739a_fmc clean-all + make -C adrv9371x clean-all make -C adv7511 clean-all make -C arradio clean-all make -C cftl_cip clean-all diff --git a/projects/adrv9371x/Makefile b/projects/adrv9371x/Makefile new file mode 100644 index 000000000..feb85db28 --- /dev/null +++ b/projects/adrv9371x/Makefile @@ -0,0 +1,24 @@ +#################################################################################### +#################################################################################### +## Copyright 2011(c) Analog Devices, Inc. +## Auto-generated, do not modify! +#################################################################################### +#################################################################################### + +.PHONY: all clean clean-all +all: + -make -C a10soc all + -make -C zc706 all + + +clean: + make -C a10soc clean + make -C zc706 clean + + +clean-all: + make -C a10soc clean-all + make -C zc706 clean-all + +#################################################################################### +#################################################################################### diff --git a/projects/adrv9371x/a10soc/Makefile b/projects/adrv9371x/a10soc/Makefile index ed286a1c4..77133e4f4 100644 --- a/projects/adrv9371x/a10soc/Makefile +++ b/projects/adrv9371x/a10soc/Makefile @@ -9,84 +9,9 @@ M_DEPS += system_top.v M_DEPS += system_project.tcl M_DEPS += system_constr.sdc M_DEPS += system_bd.qsys -M_DEPS += ../common/fmcomms2_bd.qsys M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../common/a10soc/a10soc_system_bd.qsys M_DEPS += ../../common/a10soc/a10soc_system_assign.tcl -M_DEPS += ../../../library/axi_ad9361/axi_ad9361.v -M_DEPS += ../../../library/axi_ad9361/axi_ad9361_cmos_if.v -M_DEPS += ../../../library/axi_ad9361/axi_ad9361_hw.tcl -M_DEPS += ../../../library/axi_ad9361/axi_ad9361_lvds_if.v -M_DEPS += ../../../library/axi_ad9361/axi_ad9361_rx.v -M_DEPS += ../../../library/axi_ad9361/axi_ad9361_rx_channel.v -M_DEPS += ../../../library/axi_ad9361/axi_ad9361_rx_pnmon.v -M_DEPS += ../../../library/axi_ad9361/axi_ad9361_tdd.v -M_DEPS += ../../../library/axi_ad9361/axi_ad9361_tdd_if.v -M_DEPS += ../../../library/axi_ad9361/axi_ad9361_tx.v -M_DEPS += ../../../library/axi_ad9361/axi_ad9361_tx_channel.v -M_DEPS += ../../../library/axi_dmac/2d_transfer.v -M_DEPS += ../../../library/axi_dmac/address_generator.v -M_DEPS += ../../../library/axi_dmac/axi_dmac.v -M_DEPS += ../../../library/axi_dmac/axi_dmac_hw.tcl -M_DEPS += ../../../library/axi_dmac/axi_register_slice.v -M_DEPS += ../../../library/axi_dmac/data_mover.v -M_DEPS += ../../../library/axi_dmac/dest_axi_mm.v -M_DEPS += ../../../library/axi_dmac/dest_axi_stream.v -M_DEPS += ../../../library/axi_dmac/dest_fifo_inf.v -M_DEPS += ../../../library/axi_dmac/inc_id.h -M_DEPS += ../../../library/axi_dmac/request_arb.v -M_DEPS += ../../../library/axi_dmac/request_generator.v -M_DEPS += ../../../library/axi_dmac/resp.h -M_DEPS += ../../../library/axi_dmac/response_generator.v -M_DEPS += ../../../library/axi_dmac/response_handler.v -M_DEPS += ../../../library/axi_dmac/splitter.v -M_DEPS += ../../../library/axi_dmac/src_axi_mm.v -M_DEPS += ../../../library/axi_dmac/src_axi_stream.v -M_DEPS += ../../../library/axi_dmac/src_fifo_inf.v -M_DEPS += ../../../library/common/ad_addsub.v -M_DEPS += ../../../library/common/ad_datafmt.v -M_DEPS += ../../../library/common/ad_dcfilter.v -M_DEPS += ../../../library/common/ad_dds.v -M_DEPS += ../../../library/common/ad_dds_1.v -M_DEPS += ../../../library/common/ad_dds_sine.v -M_DEPS += ../../../library/common/ad_iqcor.v -M_DEPS += ../../../library/common/ad_mul.v -M_DEPS += ../../../library/common/ad_pnmon.v -M_DEPS += ../../../library/common/ad_rst.v -M_DEPS += ../../../library/common/ad_tdd_control.v -M_DEPS += ../../../library/common/altera/DSP48E1.v -M_DEPS += ../../../library/common/altera/MULT_MACRO.v -M_DEPS += ../../../library/common/altera/ad_cmos_clk.v -M_DEPS += ../../../library/common/altera/ad_cmos_in.v -M_DEPS += ../../../library/common/altera/ad_cmos_out.v -M_DEPS += ../../../library/common/altera/ad_lvds_clk.v -M_DEPS += ../../../library/common/altera/ad_lvds_in.v -M_DEPS += ../../../library/common/altera/ad_lvds_out.v -M_DEPS += ../../../library/common/sync_bits.v -M_DEPS += ../../../library/common/sync_gray.v -M_DEPS += ../../../library/common/up_adc_channel.v -M_DEPS += ../../../library/common/up_adc_common.v -M_DEPS += ../../../library/common/up_axi.v -M_DEPS += ../../../library/common/up_clock_mon.v -M_DEPS += ../../../library/common/up_dac_channel.v -M_DEPS += ../../../library/common/up_dac_common.v -M_DEPS += ../../../library/common/up_delay_cntrl.v -M_DEPS += ../../../library/common/up_tdd_cntrl.v -M_DEPS += ../../../library/common/up_xfer_cntrl.v -M_DEPS += ../../../library/common/up_xfer_status.v -M_DEPS += ../../../library/util_axis_fifo/address_gray.v -M_DEPS += ../../../library/util_axis_fifo/address_gray_pipelined.v -M_DEPS += ../../../library/util_axis_fifo/address_sync.v -M_DEPS += ../../../library/util_axis_fifo/util_axis_fifo.v -M_DEPS += ../../../library/util_axis_resize/util_axis_resize.v -M_DEPS += ../../../library/util_cpack/util_cpack.v -M_DEPS += ../../../library/util_cpack/util_cpack_dsf.v -M_DEPS += ../../../library/util_cpack/util_cpack_hw.tcl -M_DEPS += ../../../library/util_cpack/util_cpack_mux.v -M_DEPS += ../../../library/util_upack/util_upack.v -M_DEPS += ../../../library/util_upack/util_upack_dmx.v -M_DEPS += ../../../library/util_upack/util_upack_dsf.v -M_DEPS += ../../../library/util_upack/util_upack_hw.tcl M_ALTERA := quartus_sh --64bit -t diff --git a/projects/adrv9371x/zc706/Makefile b/projects/adrv9371x/zc706/Makefile new file mode 100644 index 000000000..751f13fe8 --- /dev/null +++ b/projects/adrv9371x/zc706/Makefile @@ -0,0 +1,93 @@ +#################################################################################### +#################################################################################### +## Copyright 2011(c) Analog Devices, Inc. +## Auto-generated, do not modify! +#################################################################################### +#################################################################################### + +M_DEPS += system_top.v +M_DEPS += system_project.tcl +M_DEPS += system_constr.xdc +M_DEPS += system_bd.tcl +M_DEPS += ../common/adrv9371x_bd.tcl +M_DEPS += ../../scripts/adi_project.tcl +M_DEPS += ../../scripts/adi_env.tcl +M_DEPS += ../../scripts/adi_board.tcl +M_DEPS += ../../common/zc706/zc706_system_constr.xdc +M_DEPS += ../../common/zc706/zc706_system_bd.tcl +M_DEPS += ../../common/xilinx/sys_dmafifo.tcl +M_DEPS += ../../../library/common/ad_iobuf.v +M_DEPS += ../../../library/axi_ad9371/axi_ad9371.xpr +M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr +M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr +M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr +M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr +M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr +M_DEPS += ../../../library/util_adcfifo/util_adcfifo.xpr +M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr +M_DEPS += ../../../library/util_cpack/util_cpack.xpr +M_DEPS += ../../../library/util_dacfifo/util_dacfifo.xpr +M_DEPS += ../../../library/util_jesd_gt/util_jesd_gt.xpr +M_DEPS += ../../../library/util_upack/util_upack.xpr + +M_VIVADO := vivado -mode batch -source + +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += *.runs +M_FLIST += *.srcs +M_FLIST += *.sdk +M_FLIST += *.hw +M_FLIST += *.sim +M_FLIST += .Xil + + + +.PHONY: all lib clean clean-all +all: lib adrv9371x_zc706.sdk/system_top.hdf + + +clean: + rm -rf $(M_FLIST) + + +clean-all:clean + make -C ../../../library/axi_ad9371 clean + make -C ../../../library/axi_clkgen clean + make -C ../../../library/axi_dmac clean + make -C ../../../library/axi_hdmi_tx clean + make -C ../../../library/axi_jesd_gt clean + make -C ../../../library/axi_spdif_tx clean + make -C ../../../library/util_adcfifo clean + make -C ../../../library/util_bsplit clean + make -C ../../../library/util_cpack clean + make -C ../../../library/util_dacfifo clean + make -C ../../../library/util_jesd_gt clean + make -C ../../../library/util_upack clean + + +adrv9371x_zc706.sdk/system_top.hdf: $(M_DEPS) + rm -rf $(M_FLIST) + $(M_VIVADO) system_project.tcl >> adrv9371x_zc706_vivado.log 2>&1 + + +lib: + make -C ../../../library/axi_ad9371 + make -C ../../../library/axi_clkgen + make -C ../../../library/axi_dmac + make -C ../../../library/axi_hdmi_tx + make -C ../../../library/axi_jesd_gt + make -C ../../../library/axi_spdif_tx + make -C ../../../library/util_adcfifo + make -C ../../../library/util_bsplit + make -C ../../../library/util_cpack + make -C ../../../library/util_dacfifo + make -C ../../../library/util_jesd_gt + make -C ../../../library/util_upack + +#################################################################################### +#################################################################################### diff --git a/projects/arradio/c5soc/Makefile b/projects/arradio/c5soc/Makefile index db86d7572..d9de7293b 100644 --- a/projects/arradio/c5soc/Makefile +++ b/projects/arradio/c5soc/Makefile @@ -51,6 +51,7 @@ M_DEPS += ../../../library/common/ad_dds.v M_DEPS += ../../../library/common/ad_dds_1.v M_DEPS += ../../../library/common/ad_dds_sine.v M_DEPS += ../../../library/common/ad_iqcor.v +M_DEPS += ../../../library/common/ad_mem.v M_DEPS += ../../../library/common/ad_mul.v M_DEPS += ../../../library/common/ad_pnmon.v M_DEPS += ../../../library/common/ad_rst.v @@ -84,10 +85,14 @@ M_DEPS += ../../../library/util_cpack/util_cpack.v M_DEPS += ../../../library/util_cpack/util_cpack_dsf.v M_DEPS += ../../../library/util_cpack/util_cpack_hw.tcl M_DEPS += ../../../library/util_cpack/util_cpack_mux.v +M_DEPS += ../../../library/util_rfifo/util_rfifo.v +M_DEPS += ../../../library/util_rfifo/util_rfifo_hw.tcl M_DEPS += ../../../library/util_upack/util_upack.v M_DEPS += ../../../library/util_upack/util_upack_dmx.v M_DEPS += ../../../library/util_upack/util_upack_dsf.v M_DEPS += ../../../library/util_upack/util_upack_hw.tcl +M_DEPS += ../../../library/util_wfifo/util_wfifo.v +M_DEPS += ../../../library/util_wfifo/util_wfifo_hw.tcl M_ALTERA := quartus_sh --64bit -t diff --git a/projects/fmcomms2/Makefile b/projects/fmcomms2/Makefile index 7da011a70..297dc87af 100644 --- a/projects/fmcomms2/Makefile +++ b/projects/fmcomms2/Makefile @@ -14,6 +14,7 @@ all: -make -C vc707 all -make -C zc702 all -make -C zc706 all + -make -C zcu102 all -make -C zed all @@ -25,6 +26,7 @@ clean: make -C vc707 clean make -C zc702 clean make -C zc706 clean + make -C zcu102 clean make -C zed clean @@ -36,6 +38,7 @@ clean-all: make -C vc707 clean-all make -C zc702 clean-all make -C zc706 clean-all + make -C zcu102 clean-all make -C zed clean-all #################################################################################### diff --git a/projects/fmcomms2/zcu102/Makefile b/projects/fmcomms2/zcu102/Makefile index d3c52de44..3418eb35a 100644 --- a/projects/fmcomms2/zcu102/Makefile +++ b/projects/fmcomms2/zcu102/Makefile @@ -13,14 +13,11 @@ M_DEPS += ../common/fmcomms2_bd.tcl M_DEPS += ../../scripts/adi_project.tcl M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../scripts/adi_board.tcl -M_DEPS += ../../common/zc706/zc706_system_constr.xdc -M_DEPS += ../../common/zc706/zc706_system_bd.tcl +M_DEPS += ../../common/zcu102/zcu102_system_constr.xdc +M_DEPS += ../../common/zcu102/zcu102_system_bd.tcl M_DEPS += ../../../library/common/ad_iobuf.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr -M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr -M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr -M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr M_DEPS += ../../../library/util_cpack/util_cpack.xpr M_DEPS += ../../../library/util_tdd_sync/util_tdd_sync.xpr M_DEPS += ../../../library/util_upack/util_upack.xpr @@ -44,7 +41,7 @@ M_FLIST += .Xil .PHONY: all lib clean clean-all -all: lib fmcomms2_zc706.sdk/system_top.hdf +all: lib fmcomms2_zcu102.sdk/system_top.hdf clean: @@ -53,27 +50,21 @@ clean: clean-all:clean make -C ../../../library/axi_ad9361 clean - make -C ../../../library/axi_clkgen clean make -C ../../../library/axi_dmac clean - make -C ../../../library/axi_hdmi_tx clean - make -C ../../../library/axi_spdif_tx clean make -C ../../../library/util_cpack clean make -C ../../../library/util_tdd_sync clean make -C ../../../library/util_upack clean make -C ../../../library/util_wfifo clean -fmcomms2_zc706.sdk/system_top.hdf: $(M_DEPS) +fmcomms2_zcu102.sdk/system_top.hdf: $(M_DEPS) rm -rf $(M_FLIST) - $(M_VIVADO) system_project.tcl >> fmcomms2_zc706_vivado.log 2>&1 + $(M_VIVADO) system_project.tcl >> fmcomms2_zcu102_vivado.log 2>&1 lib: make -C ../../../library/axi_ad9361 - make -C ../../../library/axi_clkgen make -C ../../../library/axi_dmac - make -C ../../../library/axi_hdmi_tx - make -C ../../../library/axi_spdif_tx make -C ../../../library/util_cpack make -C ../../../library/util_tdd_sync make -C ../../../library/util_upack