move/rename - delay script belongs to ad9361

main
Rejeesh Kutty 2017-03-10 12:44:32 -05:00
parent e736504e0f
commit 0ae79ca7ac
9 changed files with 12 additions and 12 deletions

View File

@ -1,7 +1,7 @@
# report delays
set m_file [open "timing_delay.log" w]
set m_ios [get_ports -filter {NAME =~ rx_*_in_p*}]
set m_file [open "axi_ad9361_delay.log" w]
set m_ios [get_ports -filter {NAME =~ rx_*_in*}]
set m_ddr_ios [get_pins -hierarchical -filter {NAME =~ *i_rx_data_iddr/C || NAME =~ *i_rx_data_iddr/D}]
set m_info [report_timing -no_header -return_string -from $m_ios -to $m_ddr_ios -max_paths 100]
@ -23,10 +23,10 @@ while {[regexp {\s+Data\s+Path\s+Delay:\s+(.*?)\s+(.*)} $m_string m1 m_value m_s
set m_size [llength $m_sources]
if {[llength $m_destinations] != $m_size} {
puts "CRITICAL WARNING: fmcomms2_delay.tcl, source-destination size mismatch"
puts "CRITICAL WARNING: axi_ad9361_delay.tcl, source-destination size mismatch"
}
if {[llength $m_delays] != $m_size} {
puts "CRITICAL WARNING: fmcomms2_delay.tcl, source-delay size mismatch"
puts "CRITICAL WARNING: axi_ad9361_delay.tcl, source-delay size mismatch"
}
for {set m_index 0} {$m_index < $m_size} {incr m_index} {

View File

@ -11,5 +11,5 @@ adi_project_files fmcomms2_ac701 [list \
"$ad_hdl_dir/projects/common/ac701/ac701_system_constr.xdc" ]
adi_project_run fmcomms2_ac701
source ../common/fmcomms2_delay.tcl
source $ad_hdl_dir/library/axi_ad9361/axi_ad9361_delay.tcl

View File

@ -11,5 +11,5 @@ adi_project_files fmcomms2_kc705 [list \
"$ad_hdl_dir/projects/common/kc705/kc705_system_constr.xdc" ]
adi_project_run fmcomms2_kc705
source ../common/fmcomms2_delay.tcl
source $ad_hdl_dir/library/axi_ad9361/axi_ad9361_delay.tcl

View File

@ -11,5 +11,5 @@ adi_project_files fmcomms2_mitx045 [list \
"$ad_hdl_dir/projects/common/mitx045/mitx045_system_constr.xdc" ]
adi_project_run fmcomms2_mitx045
source ../common/fmcomms2_delay.tcl
source $ad_hdl_dir/library/axi_ad9361/axi_ad9361_delay.tcl

View File

@ -11,5 +11,5 @@ adi_project_files fmcomms2_vc707 [list \
"$ad_hdl_dir/projects/common/vc707/vc707_system_constr.xdc" ]
adi_project_run fmcomms2_vc707
source ../common/fmcomms2_delay.tcl
source $ad_hdl_dir/library/axi_ad9361/axi_ad9361_delay.tcl

View File

@ -11,5 +11,5 @@ adi_project_files fmcomms2_zc702 [list \
"system_constr.xdc" ]
adi_project_run fmcomms2_zc702
source ../common/fmcomms2_delay.tcl
source $ad_hdl_dir/library/axi_ad9361/axi_ad9361_delay.tcl

View File

@ -11,5 +11,5 @@ adi_project_files fmcomms2_zc706 [list \
"$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc" ]
adi_project_run fmcomms2_zc706
source ../common/fmcomms2_delay.tcl
source $ad_hdl_dir/library/axi_ad9361/axi_ad9361_delay.tcl

View File

@ -11,5 +11,5 @@ adi_project_files fmcomms2_zcu102 [list \
"$ad_hdl_dir/projects/common/zcu102/zcu102_system_constr.xdc" ]
adi_project_run fmcomms2_zcu102
source ../common/fmcomms2_delay.tcl
source $ad_hdl_dir/library/axi_ad9361/axi_ad9361_delay.tcl

View File

@ -11,5 +11,5 @@ adi_project_files fmcomms2_zed [list \
"$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc" ]
adi_project_run fmcomms2_zed
source ../common/fmcomms2_delay.tcl
source $ad_hdl_dir/library/axi_ad9361/axi_ad9361_delay.tcl