From 0ae79ca7ac426815b568a5bd5aaeab94cee81db2 Mon Sep 17 00:00:00 2001 From: Rejeesh Kutty Date: Fri, 10 Mar 2017 12:44:32 -0500 Subject: [PATCH] move/rename - delay script belongs to ad9361 --- .../axi_ad9361/axi_ad9361_delay.tcl | 8 ++++---- projects/fmcomms2/ac701/system_project.tcl | 2 +- projects/fmcomms2/kc705/system_project.tcl | 2 +- projects/fmcomms2/mitx045/system_project.tcl | 2 +- projects/fmcomms2/vc707/system_project.tcl | 2 +- projects/fmcomms2/zc702/system_project.tcl | 2 +- projects/fmcomms2/zc706/system_project.tcl | 2 +- projects/fmcomms2/zcu102/system_project.tcl | 2 +- projects/fmcomms2/zed/system_project.tcl | 2 +- 9 files changed, 12 insertions(+), 12 deletions(-) rename projects/fmcomms2/common/fmcomms2_delay.tcl => library/axi_ad9361/axi_ad9361_delay.tcl (82%) diff --git a/projects/fmcomms2/common/fmcomms2_delay.tcl b/library/axi_ad9361/axi_ad9361_delay.tcl similarity index 82% rename from projects/fmcomms2/common/fmcomms2_delay.tcl rename to library/axi_ad9361/axi_ad9361_delay.tcl index 1f41c459d..74a9d4225 100644 --- a/projects/fmcomms2/common/fmcomms2_delay.tcl +++ b/library/axi_ad9361/axi_ad9361_delay.tcl @@ -1,7 +1,7 @@ # report delays -set m_file [open "timing_delay.log" w] -set m_ios [get_ports -filter {NAME =~ rx_*_in_p*}] +set m_file [open "axi_ad9361_delay.log" w] +set m_ios [get_ports -filter {NAME =~ rx_*_in*}] set m_ddr_ios [get_pins -hierarchical -filter {NAME =~ *i_rx_data_iddr/C || NAME =~ *i_rx_data_iddr/D}] set m_info [report_timing -no_header -return_string -from $m_ios -to $m_ddr_ios -max_paths 100] @@ -23,10 +23,10 @@ while {[regexp {\s+Data\s+Path\s+Delay:\s+(.*?)\s+(.*)} $m_string m1 m_value m_s set m_size [llength $m_sources] if {[llength $m_destinations] != $m_size} { - puts "CRITICAL WARNING: fmcomms2_delay.tcl, source-destination size mismatch" + puts "CRITICAL WARNING: axi_ad9361_delay.tcl, source-destination size mismatch" } if {[llength $m_delays] != $m_size} { - puts "CRITICAL WARNING: fmcomms2_delay.tcl, source-delay size mismatch" + puts "CRITICAL WARNING: axi_ad9361_delay.tcl, source-delay size mismatch" } for {set m_index 0} {$m_index < $m_size} {incr m_index} { diff --git a/projects/fmcomms2/ac701/system_project.tcl b/projects/fmcomms2/ac701/system_project.tcl index 358433654..8d4c39f30 100644 --- a/projects/fmcomms2/ac701/system_project.tcl +++ b/projects/fmcomms2/ac701/system_project.tcl @@ -11,5 +11,5 @@ adi_project_files fmcomms2_ac701 [list \ "$ad_hdl_dir/projects/common/ac701/ac701_system_constr.xdc" ] adi_project_run fmcomms2_ac701 -source ../common/fmcomms2_delay.tcl +source $ad_hdl_dir/library/axi_ad9361/axi_ad9361_delay.tcl diff --git a/projects/fmcomms2/kc705/system_project.tcl b/projects/fmcomms2/kc705/system_project.tcl index b5f9bd259..3f268ae43 100644 --- a/projects/fmcomms2/kc705/system_project.tcl +++ b/projects/fmcomms2/kc705/system_project.tcl @@ -11,5 +11,5 @@ adi_project_files fmcomms2_kc705 [list \ "$ad_hdl_dir/projects/common/kc705/kc705_system_constr.xdc" ] adi_project_run fmcomms2_kc705 -source ../common/fmcomms2_delay.tcl +source $ad_hdl_dir/library/axi_ad9361/axi_ad9361_delay.tcl diff --git a/projects/fmcomms2/mitx045/system_project.tcl b/projects/fmcomms2/mitx045/system_project.tcl index a0b573c61..7bfb48246 100755 --- a/projects/fmcomms2/mitx045/system_project.tcl +++ b/projects/fmcomms2/mitx045/system_project.tcl @@ -11,5 +11,5 @@ adi_project_files fmcomms2_mitx045 [list \ "$ad_hdl_dir/projects/common/mitx045/mitx045_system_constr.xdc" ] adi_project_run fmcomms2_mitx045 -source ../common/fmcomms2_delay.tcl +source $ad_hdl_dir/library/axi_ad9361/axi_ad9361_delay.tcl diff --git a/projects/fmcomms2/vc707/system_project.tcl b/projects/fmcomms2/vc707/system_project.tcl index 333e930df..0cad9538e 100644 --- a/projects/fmcomms2/vc707/system_project.tcl +++ b/projects/fmcomms2/vc707/system_project.tcl @@ -11,5 +11,5 @@ adi_project_files fmcomms2_vc707 [list \ "$ad_hdl_dir/projects/common/vc707/vc707_system_constr.xdc" ] adi_project_run fmcomms2_vc707 -source ../common/fmcomms2_delay.tcl +source $ad_hdl_dir/library/axi_ad9361/axi_ad9361_delay.tcl diff --git a/projects/fmcomms2/zc702/system_project.tcl b/projects/fmcomms2/zc702/system_project.tcl index 98b852bfd..26e1c7732 100644 --- a/projects/fmcomms2/zc702/system_project.tcl +++ b/projects/fmcomms2/zc702/system_project.tcl @@ -11,5 +11,5 @@ adi_project_files fmcomms2_zc702 [list \ "system_constr.xdc" ] adi_project_run fmcomms2_zc702 -source ../common/fmcomms2_delay.tcl +source $ad_hdl_dir/library/axi_ad9361/axi_ad9361_delay.tcl diff --git a/projects/fmcomms2/zc706/system_project.tcl b/projects/fmcomms2/zc706/system_project.tcl index 3e432a3ca..4655c3672 100755 --- a/projects/fmcomms2/zc706/system_project.tcl +++ b/projects/fmcomms2/zc706/system_project.tcl @@ -11,5 +11,5 @@ adi_project_files fmcomms2_zc706 [list \ "$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc" ] adi_project_run fmcomms2_zc706 -source ../common/fmcomms2_delay.tcl +source $ad_hdl_dir/library/axi_ad9361/axi_ad9361_delay.tcl diff --git a/projects/fmcomms2/zcu102/system_project.tcl b/projects/fmcomms2/zcu102/system_project.tcl index db6f0178b..72e3e6b6a 100755 --- a/projects/fmcomms2/zcu102/system_project.tcl +++ b/projects/fmcomms2/zcu102/system_project.tcl @@ -11,5 +11,5 @@ adi_project_files fmcomms2_zcu102 [list \ "$ad_hdl_dir/projects/common/zcu102/zcu102_system_constr.xdc" ] adi_project_run fmcomms2_zcu102 -source ../common/fmcomms2_delay.tcl +source $ad_hdl_dir/library/axi_ad9361/axi_ad9361_delay.tcl diff --git a/projects/fmcomms2/zed/system_project.tcl b/projects/fmcomms2/zed/system_project.tcl index e83f1b401..2b6209e41 100644 --- a/projects/fmcomms2/zed/system_project.tcl +++ b/projects/fmcomms2/zed/system_project.tcl @@ -11,5 +11,5 @@ adi_project_files fmcomms2_zed [list \ "$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc" ] adi_project_run fmcomms2_zed -source ../common/fmcomms2_delay.tcl +source $ad_hdl_dir/library/axi_ad9361/axi_ad9361_delay.tcl