diff --git a/projects/common/kcu105/kcu105_system_constr.xdc b/projects/common/kcu105/kcu105_system_constr.xdc index 1688c660e..f8707f44f 100644 --- a/projects/common/kcu105/kcu105_system_constr.xdc +++ b/projects/common/kcu105/kcu105_system_constr.xdc @@ -52,4 +52,3 @@ set_property -dict {INTERNAL_VREF {0.84}} [get_iobanks 46] create_clock -name phy_clk -period 1.60 [get_ports phy_clk_p] -set_false_path -to [get_pins -hier -filter {name =~ *ethernet*idelayctrl*/RST}]