kcu105- remove ethernet delay ctrl false path

main
Rejeesh Kutty 2017-05-19 11:21:01 -04:00
parent 858065d49b
commit 0b3b1e6c76
1 changed files with 0 additions and 1 deletions

View File

@ -52,4 +52,3 @@ set_property -dict {INTERNAL_VREF {0.84}} [get_iobanks 46]
create_clock -name phy_clk -period 1.60 [get_ports phy_clk_p] create_clock -name phy_clk -period 1.60 [get_ports phy_clk_p]
set_false_path -to [get_pins -hier -filter {name =~ *ethernet*idelayctrl*/RST}]