From 0faa1ebff2ed0d0596ab297e9a3ae453ab2be759 Mon Sep 17 00:00:00 2001 From: Adrian Costina Date: Wed, 30 Nov 2016 17:38:04 +0200 Subject: [PATCH] pzsdr1: ccusb_lvds, add flag_a,flag_b signals --- projects/pzsdr1/ccusb_lvds/system_top.v | 3 +++ projects/pzsdr1/common/ccusb_constr.xdc | 4 ++++ 2 files changed, 7 insertions(+) diff --git a/projects/pzsdr1/ccusb_lvds/system_top.v b/projects/pzsdr1/ccusb_lvds/system_top.v index 7b136adf6..426658da6 100644 --- a/projects/pzsdr1/ccusb_lvds/system_top.v +++ b/projects/pzsdr1/ccusb_lvds/system_top.v @@ -104,6 +104,9 @@ module system_top ( output pktend_n, output epswitch_n, + input flag_a, + input flag_b, + output reset_n, output [ 2:0] pmode, diff --git a/projects/pzsdr1/common/ccusb_constr.xdc b/projects/pzsdr1/common/ccusb_constr.xdc index de25d854d..fb06ba3ec 100644 --- a/projects/pzsdr1/common/ccusb_constr.xdc +++ b/projects/pzsdr1/common/ccusb_constr.xdc @@ -63,6 +63,10 @@ set_property -dict {PACKAGE_PIN W15 IOSTANDARD LVCMOS25} [get_ports fifo_rdy set_property -dict {PACKAGE_PIN U19 IOSTANDARD LVCMOS25} [get_ports fifo_rdy[6]] ; set_property -dict {PACKAGE_PIN P20 IOSTANDARD LVCMOS25} [get_ports fifo_rdy[7]] ; +set_property -dict {PACKAGE_PIN W10 IOSTANDARD LVCMOS25} [get_ports flag_a] ; +set_property -dict {PACKAGE_PIN W9 IOSTANDARD LVCMOS25} [get_ports flag_b] ; + + set_property -dict {PACKAGE_PIN W20 IOSTANDARD LVCMOS25} [get_ports pmode[0]] ; set_property -dict {PACKAGE_PIN T19 IOSTANDARD LVCMOS25} [get_ports pmode[1]] ; set_property -dict {PACKAGE_PIN R18 IOSTANDARD LVCMOS25} [get_ports pmode[2]] ;