jesd204_rx: Don't auto reset on frame alignment error by default

Let software handle the error case by default. Other steps might be
required to bring-up properly the link if one shot SYSREF is used.
main
Laszlo Nagy 2020-07-16 14:57:13 +01:00 committed by Laszlo Nagy
parent 1e04b2e2f2
commit 15e14c76b9
2 changed files with 4 additions and 2 deletions

View File

@ -52,7 +52,7 @@ module jesd204_rx #(
/* Only 4 is supported at the moment for 8b/10b and 8 for 64b */
parameter DATA_PATH_WIDTH = LINK_MODE == 2 ? 8 : 4,
parameter ENABLE_FRAME_ALIGN_CHECK = 1,
parameter ENABLE_FRAME_ALIGN_ERR_RESET = 1
parameter ENABLE_FRAME_ALIGN_ERR_RESET = 0
) (
input clk,
input reset,

View File

@ -314,7 +314,9 @@ module frame_align_tb;
);
jesd204_rx #(
.NUM_LANES(NUM_LANES)
.NUM_LANES(NUM_LANES),
.ENABLE_FRAME_ALIGN_CHECK(1),
.ENABLE_FRAME_ALIGN_ERR_RESET(1)
) i_rx (
.clk(clk),
.reset(reset),