Makefile: Update make files

main
Istvan Csomortani 2016-09-15 11:41:06 +03:00
parent 3b0c1e02fc
commit 16ee1336c3
19 changed files with 133 additions and 35 deletions

View File

@ -30,9 +30,7 @@ clean:
make -C axi_ad9680 clean make -C axi_ad9680 clean
make -C axi_ad9684 clean make -C axi_ad9684 clean
make -C axi_ad9739a clean make -C axi_ad9739a clean
make -C axi_adcfifo clean
make -C axi_clkgen clean make -C axi_clkgen clean
make -C axi_dacfifo clean
make -C axi_dmac clean make -C axi_dmac clean
make -C axi_generic_adc clean make -C axi_generic_adc clean
make -C axi_gpreg clean make -C axi_gpreg clean
@ -73,7 +71,9 @@ clean:
make -C util_tdd_sync clean make -C util_tdd_sync clean
make -C util_upack clean make -C util_upack clean
make -C util_wfifo clean make -C util_wfifo clean
make -C xilinx/axi_adcfifo clean
make -C xilinx/axi_adxcvr clean make -C xilinx/axi_adxcvr clean
make -C xilinx/axi_dacfifo clean
make -C xilinx/util_adxcvr clean make -C xilinx/util_adxcvr clean
@ -101,9 +101,7 @@ lib:
-make -C axi_ad9680 -make -C axi_ad9680
-make -C axi_ad9684 -make -C axi_ad9684
-make -C axi_ad9739a -make -C axi_ad9739a
-make -C axi_adcfifo
-make -C axi_clkgen -make -C axi_clkgen
-make -C axi_dacfifo
-make -C axi_dmac -make -C axi_dmac
-make -C axi_generic_adc -make -C axi_generic_adc
-make -C axi_gpreg -make -C axi_gpreg
@ -144,7 +142,9 @@ lib:
-make -C util_tdd_sync -make -C util_tdd_sync
-make -C util_upack -make -C util_upack
-make -C util_wfifo -make -C util_wfifo
-make -C xilinx/axi_adcfifo
-make -C xilinx/axi_adxcvr -make -C xilinx/axi_adxcvr
-make -C xilinx/axi_dacfifo
-make -C xilinx/util_adxcvr -make -C xilinx/util_adxcvr
#################################################################################### ####################################################################################

View File

@ -21,6 +21,23 @@ M_DEPS += ../../common/a10gx/a10gx_system_qsys.tcl
M_DEPS += ../../common/a10gx/a10gx_system_assign.tcl M_DEPS += ../../common/a10gx/a10gx_system_assign.tcl
M_DEPS += ../../../library/altera/common/ad_dcfilter.v M_DEPS += ../../../library/altera/common/ad_dcfilter.v
M_DEPS += ../../../library/altera/common/ad_mul.v M_DEPS += ../../../library/altera/common/ad_mul.v
M_DEPS += ../../../library/altera_avalon_jtag_uart/altera_avalon_jtag_uart_hw.tcl
M_DEPS += ../../../library/altera_avalon_onchip_memory2/altera_avalon_onchip_memory2_hw.tcl
M_DEPS += ../../../library/altera_avalon_pio/altera_avalon_pio_hw.tcl
M_DEPS += ../../../library/altera_avalon_spi/altera_avalon_spi_hw.tcl
M_DEPS += ../../../library/altera_avalon_sysid_qsys/altera_avalon_sysid_qsys_hw.tcl
M_DEPS += ../../../library/altera_avalon_timer/altera_avalon_timer_hw.tcl
M_DEPS += ../../../library/altera_clock_bridge/altera_clock_bridge_hw.tcl
M_DEPS += ../../../library/altera_emif/altera_emif_hw.tcl
M_DEPS += ../../../library/altera_eth_tse/altera_eth_tse_hw.tcl
M_DEPS += ../../../library/altera_iopll/altera_iopll_hw.tcl
M_DEPS += ../../../library/altera_jesd204/altera_jesd204_hw.tcl
M_DEPS += ../../../library/altera_msgdma/altera_msgdma_hw.tcl
M_DEPS += ../../../library/altera_nios2_gen2/altera_nios2_gen2_hw.tcl
M_DEPS += ../../../library/altera_pll_reconfig/altera_pll_reconfig_hw.tcl
M_DEPS += ../../../library/altera_reset_bridge/altera_reset_bridge_hw.tcl
M_DEPS += ../../../library/altera_xcvr_atx_pll_a10/altera_xcvr_atx_pll_a10_hw.tcl
M_DEPS += ../../../library/altera_xcvr_reset_control/altera_xcvr_reset_control_hw.tcl
M_DEPS += ../../../library/axi_ad9371/axi_ad9371.v M_DEPS += ../../../library/axi_ad9371/axi_ad9371.v
M_DEPS += ../../../library/axi_ad9371/axi_ad9371_hw.tcl M_DEPS += ../../../library/axi_ad9371/axi_ad9371_hw.tcl
M_DEPS += ../../../library/axi_ad9371/axi_ad9371_if.v M_DEPS += ../../../library/axi_ad9371/axi_ad9371_if.v
@ -68,6 +85,10 @@ M_DEPS += ../../../library/common/up_dac_common.v
M_DEPS += ../../../library/common/up_xcvr.v M_DEPS += ../../../library/common/up_xcvr.v
M_DEPS += ../../../library/common/up_xfer_cntrl.v M_DEPS += ../../../library/common/up_xfer_cntrl.v
M_DEPS += ../../../library/common/up_xfer_status.v M_DEPS += ../../../library/common/up_xfer_status.v
M_DEPS += ../../../library/util_adcfifo/../common/ad_axis_inf_rx.v
M_DEPS += ../../../library/util_adcfifo/../common/ad_mem_asym.v
M_DEPS += ../../../library/util_adcfifo/util_adcfifo.v
M_DEPS += ../../../library/util_adcfifo/util_adcfifo_hw.tcl
M_DEPS += ../../../library/util_axis_fifo/address_gray.v M_DEPS += ../../../library/util_axis_fifo/address_gray.v
M_DEPS += ../../../library/util_axis_fifo/address_gray_pipelined.v M_DEPS += ../../../library/util_axis_fifo/address_gray_pipelined.v
M_DEPS += ../../../library/util_axis_fifo/address_sync.v M_DEPS += ../../../library/util_axis_fifo/address_sync.v

View File

@ -21,6 +21,16 @@ M_DEPS += ../../common/a10soc/a10soc_system_qsys.tcl
M_DEPS += ../../common/a10soc/a10soc_system_assign.tcl M_DEPS += ../../common/a10soc/a10soc_system_assign.tcl
M_DEPS += ../../../library/altera/common/ad_dcfilter.v M_DEPS += ../../../library/altera/common/ad_dcfilter.v
M_DEPS += ../../../library/altera/common/ad_mul.v M_DEPS += ../../../library/altera/common/ad_mul.v
M_DEPS += ../../../library/altera_arria10_hps/altera_arria10_hps_hw.tcl
M_DEPS += ../../../library/altera_avalon_pio/altera_avalon_pio_hw.tcl
M_DEPS += ../../../library/altera_avalon_spi/altera_avalon_spi_hw.tcl
M_DEPS += ../../../library/altera_clock_bridge/altera_clock_bridge_hw.tcl
M_DEPS += ../../../library/altera_emif_a10_hps/altera_emif_a10_hps_hw.tcl
M_DEPS += ../../../library/altera_iopll/altera_iopll_hw.tcl
M_DEPS += ../../../library/altera_jesd204/altera_jesd204_hw.tcl
M_DEPS += ../../../library/altera_pll_reconfig/altera_pll_reconfig_hw.tcl
M_DEPS += ../../../library/altera_xcvr_atx_pll_a10/altera_xcvr_atx_pll_a10_hw.tcl
M_DEPS += ../../../library/altera_xcvr_reset_control/altera_xcvr_reset_control_hw.tcl
M_DEPS += ../../../library/axi_ad9371/axi_ad9371.v M_DEPS += ../../../library/axi_ad9371/axi_ad9371.v
M_DEPS += ../../../library/axi_ad9371/axi_ad9371_hw.tcl M_DEPS += ../../../library/axi_ad9371/axi_ad9371_hw.tcl
M_DEPS += ../../../library/axi_ad9371/axi_ad9371_if.v M_DEPS += ../../../library/axi_ad9371/axi_ad9371_if.v

View File

@ -21,7 +21,7 @@ M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9371/axi_ad9371.xpr M_DEPS += ../../../library/axi_ad9371/axi_ad9371.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dacfifo/axi_dacfifo.xpr M_DEPS += ../../../library/xilinx/axi_dacfifo/axi_dacfifo.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
@ -60,7 +60,7 @@ clean:
clean-all:clean clean-all:clean
make -C ../../../library/axi_ad9371 clean make -C ../../../library/axi_ad9371 clean
make -C ../../../library/axi_clkgen clean make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_dacfifo clean make -C ../../../library/xilinx/axi_dacfifo clean
make -C ../../../library/axi_dmac clean make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_hdmi_tx clean make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_jesd_gt clean make -C ../../../library/axi_jesd_gt clean
@ -79,7 +79,7 @@ adrv9371x_zc706.sdk/system_top.hdf: $(M_DEPS)
lib: lib:
make -C ../../../library/axi_ad9371 make -C ../../../library/axi_ad9371
make -C ../../../library/axi_clkgen make -C ../../../library/axi_clkgen
make -C ../../../library/axi_dacfifo make -C ../../../library/xilinx/axi_dacfifo
make -C ../../../library/axi_dmac make -C ../../../library/axi_dmac
make -C ../../../library/axi_hdmi_tx make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_jesd_gt make -C ../../../library/axi_jesd_gt

View File

@ -27,6 +27,13 @@ M_DEPS += ../../../library/altera/common/ad_lvds_clk.v
M_DEPS += ../../../library/altera/common/ad_lvds_in.v M_DEPS += ../../../library/altera/common/ad_lvds_in.v
M_DEPS += ../../../library/altera/common/ad_lvds_out.v M_DEPS += ../../../library/altera/common/ad_lvds_out.v
M_DEPS += ../../../library/altera/common/ad_mul.v M_DEPS += ../../../library/altera/common/ad_mul.v
M_DEPS += ../../../library/altera_avalon_pio/altera_avalon_pio_hw.tcl
M_DEPS += ../../../library/altera_avalon_spi/altera_avalon_spi_hw.tcl
M_DEPS += ../../../library/altera_clock_bridge/altera_clock_bridge_hw.tcl
M_DEPS += ../../../library/altera_hps/altera_hps_hw.tcl
M_DEPS += ../../../library/altera_irq_bridge/altera_irq_bridge_hw.tcl
M_DEPS += ../../../library/altera_pll/altera_pll_hw.tcl
M_DEPS += ../../../library/altera_reset_bridge/altera_reset_bridge_hw.tcl
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_cmos_if.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361_cmos_if.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_hw.tcl M_DEPS += ../../../library/axi_ad9361/axi_ad9361_hw.tcl

View File

@ -22,7 +22,7 @@ M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr
M_DEPS += ../../../library/axi_ad9684/axi_ad9684.xpr M_DEPS += ../../../library/axi_ad9684/axi_ad9684.xpr
M_DEPS += ../../../library/axi_adcfifo/axi_adcfifo.xpr M_DEPS += ../../../library/xilinx/axi_adcfifo/axi_adcfifo.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
@ -59,7 +59,7 @@ clean:
clean-all:clean clean-all:clean
make -C ../../../library/axi_ad9122 clean make -C ../../../library/axi_ad9122 clean
make -C ../../../library/axi_ad9684 clean make -C ../../../library/axi_ad9684 clean
make -C ../../../library/axi_adcfifo clean make -C ../../../library/xilinx/axi_adcfifo clean
make -C ../../../library/axi_clkgen clean make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_dmac clean make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_hdmi_tx clean make -C ../../../library/axi_hdmi_tx clean
@ -76,7 +76,7 @@ daq1_zc706.sdk/system_top.hdf: $(M_DEPS)
lib: lib:
make -C ../../../library/axi_ad9122 make -C ../../../library/axi_ad9122
make -C ../../../library/axi_ad9684 make -C ../../../library/axi_ad9684
make -C ../../../library/axi_adcfifo make -C ../../../library/xilinx/axi_adcfifo
make -C ../../../library/axi_clkgen make -C ../../../library/axi_clkgen
make -C ../../../library/axi_dmac make -C ../../../library/axi_dmac
make -C ../../../library/axi_hdmi_tx make -C ../../../library/axi_hdmi_tx

View File

@ -21,6 +21,17 @@ M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../common/a10gx/a10gx_system_qsys.tcl M_DEPS += ../../common/a10gx/a10gx_system_qsys.tcl
M_DEPS += ../../common/a10gx/a10gx_system_assign.tcl M_DEPS += ../../common/a10gx/a10gx_system_assign.tcl
M_DEPS += ../../../library/altera/common/ad_mul.v M_DEPS += ../../../library/altera/common/ad_mul.v
M_DEPS += ../../../library/altera_avalon_jtag_uart/altera_avalon_jtag_uart_hw.tcl
M_DEPS += ../../../library/altera_avalon_onchip_memory2/altera_avalon_onchip_memory2_hw.tcl
M_DEPS += ../../../library/altera_avalon_pio/altera_avalon_pio_hw.tcl
M_DEPS += ../../../library/altera_avalon_spi/altera_avalon_spi_hw.tcl
M_DEPS += ../../../library/altera_avalon_sysid_qsys/altera_avalon_sysid_qsys_hw.tcl
M_DEPS += ../../../library/altera_avalon_timer/altera_avalon_timer_hw.tcl
M_DEPS += ../../../library/altera_emif/altera_emif_hw.tcl
M_DEPS += ../../../library/altera_eth_tse/altera_eth_tse_hw.tcl
M_DEPS += ../../../library/altera_msgdma/altera_msgdma_hw.tcl
M_DEPS += ../../../library/altera_nios2_gen2/altera_nios2_gen2_hw.tcl
M_DEPS += ../../../library/altera_reset_bridge/altera_reset_bridge_hw.tcl
M_DEPS += ../../../library/axi_ad9144/axi_ad9144.v M_DEPS += ../../../library/axi_ad9144/axi_ad9144.v
M_DEPS += ../../../library/axi_ad9144/axi_ad9144_channel.v M_DEPS += ../../../library/axi_ad9144/axi_ad9144_channel.v
M_DEPS += ../../../library/axi_ad9144/axi_ad9144_core.v M_DEPS += ../../../library/axi_ad9144/axi_ad9144_core.v
@ -31,6 +42,7 @@ M_DEPS += ../../../library/axi_ad9680/axi_ad9680_channel.v
M_DEPS += ../../../library/axi_ad9680/axi_ad9680_hw.tcl M_DEPS += ../../../library/axi_ad9680/axi_ad9680_hw.tcl
M_DEPS += ../../../library/axi_ad9680/axi_ad9680_if.v M_DEPS += ../../../library/axi_ad9680/axi_ad9680_if.v
M_DEPS += ../../../library/axi_ad9680/axi_ad9680_pnmon.v M_DEPS += ../../../library/axi_ad9680/axi_ad9680_pnmon.v
M_DEPS += ../../../library/axi_adxcvr/axi_adxcvr_hw.tcl
M_DEPS += ../../../library/axi_dmac/2d_transfer.v M_DEPS += ../../../library/axi_dmac/2d_transfer.v
M_DEPS += ../../../library/axi_dmac/address_generator.v M_DEPS += ../../../library/axi_dmac/address_generator.v
M_DEPS += ../../../library/axi_dmac/axi_dmac.v M_DEPS += ../../../library/axi_dmac/axi_dmac.v
@ -50,13 +62,10 @@ M_DEPS += ../../../library/axi_dmac/splitter.v
M_DEPS += ../../../library/axi_dmac/src_axi_mm.v M_DEPS += ../../../library/axi_dmac/src_axi_mm.v
M_DEPS += ../../../library/axi_dmac/src_axi_stream.v M_DEPS += ../../../library/axi_dmac/src_axi_stream.v
M_DEPS += ../../../library/axi_dmac/src_fifo_inf.v M_DEPS += ../../../library/axi_dmac/src_fifo_inf.v
M_DEPS += ../../../library/axi_jesd_xcvr/axi_jesd_xcvr.v
M_DEPS += ../../../library/axi_jesd_xcvr/axi_jesd_xcvr_hw.tcl
M_DEPS += ../../../library/common/ad_datafmt.v M_DEPS += ../../../library/common/ad_datafmt.v
M_DEPS += ../../../library/common/ad_dds.v M_DEPS += ../../../library/common/ad_dds.v
M_DEPS += ../../../library/common/ad_dds_1.v M_DEPS += ../../../library/common/ad_dds_1.v
M_DEPS += ../../../library/common/ad_dds_sine.v M_DEPS += ../../../library/common/ad_dds_sine.v
M_DEPS += ../../../library/common/ad_jesd_align.v
M_DEPS += ../../../library/common/ad_pnmon.v M_DEPS += ../../../library/common/ad_pnmon.v
M_DEPS += ../../../library/common/ad_rst.v M_DEPS += ../../../library/common/ad_rst.v
M_DEPS += ../../../library/common/ad_xcvr_rx_if.v M_DEPS += ../../../library/common/ad_xcvr_rx_if.v
@ -69,7 +78,6 @@ M_DEPS += ../../../library/common/up_clock_mon.v
M_DEPS += ../../../library/common/up_dac_channel.v M_DEPS += ../../../library/common/up_dac_channel.v
M_DEPS += ../../../library/common/up_dac_common.v M_DEPS += ../../../library/common/up_dac_common.v
M_DEPS += ../../../library/common/up_delay_cntrl.v M_DEPS += ../../../library/common/up_delay_cntrl.v
M_DEPS += ../../../library/common/up_xcvr.v
M_DEPS += ../../../library/common/up_xfer_cntrl.v M_DEPS += ../../../library/common/up_xfer_cntrl.v
M_DEPS += ../../../library/common/up_xfer_status.v M_DEPS += ../../../library/common/up_xfer_status.v
M_DEPS += ../../../library/util_adcfifo/../common/ad_axis_inf_rx.v M_DEPS += ../../../library/util_adcfifo/../common/ad_axis_inf_rx.v

View File

@ -23,7 +23,7 @@ M_DEPS += ../../common/xilinx/sys_dacfifo.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9144/axi_ad9144.xpr M_DEPS += ../../../library/axi_ad9144/axi_ad9144.xpr
M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr
M_DEPS += ../../../library/axi_adcfifo/axi_adcfifo.xpr M_DEPS += ../../../library/xilinx/axi_adcfifo/axi_adcfifo.xpr
M_DEPS += ../../../library/xilinx/axi_adxcvr/axi_adxcvr.xpr M_DEPS += ../../../library/xilinx/axi_adxcvr/axi_adxcvr.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
@ -63,7 +63,7 @@ clean:
clean-all:clean clean-all:clean
make -C ../../../library/axi_ad9144 clean make -C ../../../library/axi_ad9144 clean
make -C ../../../library/axi_ad9680 clean make -C ../../../library/axi_ad9680 clean
make -C ../../../library/axi_adcfifo clean make -C ../../../library/xilinx/axi_adcfifo clean
make -C ../../../library/xilinx/axi_adxcvr clean make -C ../../../library/xilinx/axi_adxcvr clean
make -C ../../../library/axi_clkgen clean make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_dmac clean make -C ../../../library/axi_dmac clean
@ -83,7 +83,7 @@ daq2_zc706.sdk/system_top.hdf: $(M_DEPS)
lib: lib:
make -C ../../../library/axi_ad9144 make -C ../../../library/axi_ad9144
make -C ../../../library/axi_ad9680 make -C ../../../library/axi_ad9680
make -C ../../../library/axi_adcfifo make -C ../../../library/xilinx/axi_adcfifo
make -C ../../../library/xilinx/axi_adxcvr make -C ../../../library/xilinx/axi_adxcvr
make -C ../../../library/axi_clkgen make -C ../../../library/axi_clkgen
make -C ../../../library/axi_dmac make -C ../../../library/axi_dmac

View File

@ -21,6 +21,23 @@ M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../common/a10gx/a10gx_system_qsys.tcl M_DEPS += ../../common/a10gx/a10gx_system_qsys.tcl
M_DEPS += ../../common/a10gx/a10gx_system_assign.tcl M_DEPS += ../../common/a10gx/a10gx_system_assign.tcl
M_DEPS += ../../../library/altera/common/ad_mul.v M_DEPS += ../../../library/altera/common/ad_mul.v
M_DEPS += ../../../library/altera_avalon_jtag_uart/altera_avalon_jtag_uart_hw.tcl
M_DEPS += ../../../library/altera_avalon_onchip_memory2/altera_avalon_onchip_memory2_hw.tcl
M_DEPS += ../../../library/altera_avalon_pio/altera_avalon_pio_hw.tcl
M_DEPS += ../../../library/altera_avalon_spi/altera_avalon_spi_hw.tcl
M_DEPS += ../../../library/altera_avalon_sysid_qsys/altera_avalon_sysid_qsys_hw.tcl
M_DEPS += ../../../library/altera_avalon_timer/altera_avalon_timer_hw.tcl
M_DEPS += ../../../library/altera_clock_bridge/altera_clock_bridge_hw.tcl
M_DEPS += ../../../library/altera_emif/altera_emif_hw.tcl
M_DEPS += ../../../library/altera_eth_tse/altera_eth_tse_hw.tcl
M_DEPS += ../../../library/altera_iopll/altera_iopll_hw.tcl
M_DEPS += ../../../library/altera_jesd204/altera_jesd204_hw.tcl
M_DEPS += ../../../library/altera_msgdma/altera_msgdma_hw.tcl
M_DEPS += ../../../library/altera_nios2_gen2/altera_nios2_gen2_hw.tcl
M_DEPS += ../../../library/altera_pll_reconfig/altera_pll_reconfig_hw.tcl
M_DEPS += ../../../library/altera_reset_bridge/altera_reset_bridge_hw.tcl
M_DEPS += ../../../library/altera_xcvr_atx_pll_a10/altera_xcvr_atx_pll_a10_hw.tcl
M_DEPS += ../../../library/altera_xcvr_reset_control/altera_xcvr_reset_control_hw.tcl
M_DEPS += ../../../library/axi_ad9152/axi_ad9152.v M_DEPS += ../../../library/axi_ad9152/axi_ad9152.v
M_DEPS += ../../../library/axi_ad9152/axi_ad9152_channel.v M_DEPS += ../../../library/axi_ad9152/axi_ad9152_channel.v
M_DEPS += ../../../library/axi_ad9152/axi_ad9152_core.v M_DEPS += ../../../library/axi_ad9152/axi_ad9152_core.v

View File

@ -23,7 +23,7 @@ M_DEPS += ../../common/xilinx/sys_dacfifo.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9152/axi_ad9152.xpr M_DEPS += ../../../library/axi_ad9152/axi_ad9152.xpr
M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr
M_DEPS += ../../../library/axi_adcfifo/axi_adcfifo.xpr M_DEPS += ../../../library/xilinx/axi_adcfifo/axi_adcfifo.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
@ -64,7 +64,7 @@ clean:
clean-all:clean clean-all:clean
make -C ../../../library/axi_ad9152 clean make -C ../../../library/axi_ad9152 clean
make -C ../../../library/axi_ad9680 clean make -C ../../../library/axi_ad9680 clean
make -C ../../../library/axi_adcfifo clean make -C ../../../library/xilinx/axi_adcfifo clean
make -C ../../../library/axi_clkgen clean make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_dmac clean make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_hdmi_tx clean make -C ../../../library/axi_hdmi_tx clean
@ -85,7 +85,7 @@ daq3_zc706.sdk/system_top.hdf: $(M_DEPS)
lib: lib:
make -C ../../../library/axi_ad9152 make -C ../../../library/axi_ad9152
make -C ../../../library/axi_ad9680 make -C ../../../library/axi_ad9680
make -C ../../../library/axi_adcfifo make -C ../../../library/xilinx/axi_adcfifo
make -C ../../../library/axi_clkgen make -C ../../../library/axi_clkgen
make -C ../../../library/axi_dmac make -C ../../../library/axi_dmac
make -C ../../../library/axi_hdmi_tx make -C ../../../library/axi_hdmi_tx

View File

@ -21,7 +21,7 @@ M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9625/axi_ad9625.xpr M_DEPS += ../../../library/axi_ad9625/axi_ad9625.xpr
M_DEPS += ../../../library/axi_adcfifo/axi_adcfifo.xpr M_DEPS += ../../../library/xilinx/axi_adcfifo/axi_adcfifo.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
@ -57,7 +57,7 @@ clean:
clean-all:clean clean-all:clean
make -C ../../../library/axi_ad9625 clean make -C ../../../library/axi_ad9625 clean
make -C ../../../library/axi_adcfifo clean make -C ../../../library/xilinx/axi_adcfifo clean
make -C ../../../library/axi_clkgen clean make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_dmac clean make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_hdmi_tx clean make -C ../../../library/axi_hdmi_tx clean
@ -73,7 +73,7 @@ fmcadc2_zc706.sdk/system_top.hdf: $(M_DEPS)
lib: lib:
make -C ../../../library/axi_ad9625 make -C ../../../library/axi_ad9625
make -C ../../../library/axi_adcfifo make -C ../../../library/xilinx/axi_adcfifo
make -C ../../../library/axi_clkgen make -C ../../../library/axi_clkgen
make -C ../../../library/axi_dmac make -C ../../../library/axi_dmac
make -C ../../../library/axi_hdmi_tx make -C ../../../library/axi_hdmi_tx

View File

@ -21,7 +21,7 @@ M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr
M_DEPS += ../../../library/axi_adcfifo/axi_adcfifo.xpr M_DEPS += ../../../library/xilinx/axi_adcfifo/axi_adcfifo.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
@ -60,7 +60,7 @@ clean:
clean-all:clean clean-all:clean
make -C ../../../library/axi_ad9680 clean make -C ../../../library/axi_ad9680 clean
make -C ../../../library/axi_adcfifo clean make -C ../../../library/xilinx/axi_adcfifo clean
make -C ../../../library/axi_clkgen clean make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_dmac clean make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_hdmi_tx clean make -C ../../../library/axi_hdmi_tx clean
@ -79,7 +79,7 @@ fmcadc4_zc706.sdk/system_top.hdf: $(M_DEPS)
lib: lib:
make -C ../../../library/axi_ad9680 make -C ../../../library/axi_ad9680
make -C ../../../library/axi_adcfifo make -C ../../../library/xilinx/axi_adcfifo
make -C ../../../library/axi_clkgen make -C ../../../library/axi_clkgen
make -C ../../../library/axi_dmac make -C ../../../library/axi_dmac
make -C ../../../library/axi_hdmi_tx make -C ../../../library/axi_hdmi_tx

View File

@ -20,6 +20,15 @@ M_DEPS += ../common/fmcjesdadc1_bd.qsys
M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../common/a5gt/a5gt_system_bd.qsys M_DEPS += ../../common/a5gt/a5gt_system_bd.qsys
M_DEPS += ../../common/a5gt/a5gt_system_assign.tcl M_DEPS += ../../common/a5gt/a5gt_system_assign.tcl
M_DEPS += ../../../library/altera_avalon_pio/altera_avalon_pio_hw.tcl
M_DEPS += ../../../library/altera_avalon_spi/altera_avalon_spi_hw.tcl
M_DEPS += ../../../library/altera_clock_bridge/altera_clock_bridge_hw.tcl
M_DEPS += ../../../library/altera_eth_tse/altera_eth_tse_hw.tcl
M_DEPS += ../../../library/altera_irq_bridge/altera_irq_bridge_hw.tcl
M_DEPS += ../../../library/altera_jesd204/altera_jesd204_hw.tcl
M_DEPS += ../../../library/altera_nios2_gen2/altera_nios2_gen2_hw.tcl
M_DEPS += ../../../library/altera_pll/altera_pll_hw.tcl
M_DEPS += ../../../library/altera_reset_bridge/altera_reset_bridge_hw.tcl
M_DEPS += ../../../library/axi_ad9250/axi_ad9250.v M_DEPS += ../../../library/axi_ad9250/axi_ad9250.v
M_DEPS += ../../../library/axi_ad9250/axi_ad9250_channel.v M_DEPS += ../../../library/axi_ad9250/axi_ad9250_channel.v
M_DEPS += ../../../library/axi_ad9250/axi_ad9250_hw.tcl M_DEPS += ../../../library/axi_ad9250/axi_ad9250_hw.tcl

View File

@ -20,6 +20,13 @@ M_DEPS += ../common/fmcjesdadc1_bd.qsys
M_DEPS += ../../scripts/adi_env.tcl M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../common/a5soc/a5soc_system_bd.qsys M_DEPS += ../../common/a5soc/a5soc_system_bd.qsys
M_DEPS += ../../common/a5soc/a5soc_system_assign.tcl M_DEPS += ../../common/a5soc/a5soc_system_assign.tcl
M_DEPS += ../../../library/altera_avalon_pio/altera_avalon_pio_hw.tcl
M_DEPS += ../../../library/altera_clock_bridge/altera_clock_bridge_hw.tcl
M_DEPS += ../../../library/altera_hps/altera_hps_hw.tcl
M_DEPS += ../../../library/altera_irq_bridge/altera_irq_bridge_hw.tcl
M_DEPS += ../../../library/altera_jesd204/altera_jesd204_hw.tcl
M_DEPS += ../../../library/altera_pll/altera_pll_hw.tcl
M_DEPS += ../../../library/altera_reset_bridge/altera_reset_bridge_hw.tcl
M_DEPS += ../../../library/axi_ad9250/axi_ad9250.v M_DEPS += ../../../library/axi_ad9250/axi_ad9250.v
M_DEPS += ../../../library/axi_ad9250/axi_ad9250_channel.v M_DEPS += ../../../library/axi_ad9250/axi_ad9250_channel.v
M_DEPS += ../../../library/axi_ad9250/axi_ad9250_hw.tcl M_DEPS += ../../../library/axi_ad9250/axi_ad9250_hw.tcl

View File

@ -23,7 +23,7 @@ M_DEPS += ../../common/xilinx/sys_dacfifo.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9162/axi_ad9162.xpr M_DEPS += ../../../library/axi_ad9162/axi_ad9162.xpr
M_DEPS += ../../../library/axi_ad9625/axi_ad9625.xpr M_DEPS += ../../../library/axi_ad9625/axi_ad9625.xpr
M_DEPS += ../../../library/axi_adcfifo/axi_adcfifo.xpr M_DEPS += ../../../library/xilinx/axi_adcfifo/axi_adcfifo.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
@ -61,7 +61,7 @@ clean:
clean-all:clean clean-all:clean
make -C ../../../library/axi_ad9162 clean make -C ../../../library/axi_ad9162 clean
make -C ../../../library/axi_ad9625 clean make -C ../../../library/axi_ad9625 clean
make -C ../../../library/axi_adcfifo clean make -C ../../../library/xilinx/axi_adcfifo clean
make -C ../../../library/axi_clkgen clean make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_dmac clean make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_hdmi_tx clean make -C ../../../library/axi_hdmi_tx clean
@ -79,7 +79,7 @@ fmcomms11_zc706.sdk/system_top.hdf: $(M_DEPS)
lib: lib:
make -C ../../../library/axi_ad9162 make -C ../../../library/axi_ad9162
make -C ../../../library/axi_ad9625 make -C ../../../library/axi_ad9625
make -C ../../../library/axi_adcfifo make -C ../../../library/xilinx/axi_adcfifo
make -C ../../../library/axi_clkgen make -C ../../../library/axi_clkgen
make -C ../../../library/axi_dmac make -C ../../../library/axi_dmac
make -C ../../../library/axi_hdmi_tx make -C ../../../library/axi_hdmi_tx

View File

@ -27,6 +27,17 @@ M_DEPS += ../../../library/altera/common/ad_lvds_clk.v
M_DEPS += ../../../library/altera/common/ad_lvds_in.v M_DEPS += ../../../library/altera/common/ad_lvds_in.v
M_DEPS += ../../../library/altera/common/ad_lvds_out.v M_DEPS += ../../../library/altera/common/ad_lvds_out.v
M_DEPS += ../../../library/altera/common/ad_mul.v M_DEPS += ../../../library/altera/common/ad_mul.v
M_DEPS += ../../../library/altera_avalon_jtag_uart/altera_avalon_jtag_uart_hw.tcl
M_DEPS += ../../../library/altera_avalon_onchip_memory2/altera_avalon_onchip_memory2_hw.tcl
M_DEPS += ../../../library/altera_avalon_pio/altera_avalon_pio_hw.tcl
M_DEPS += ../../../library/altera_avalon_spi/altera_avalon_spi_hw.tcl
M_DEPS += ../../../library/altera_avalon_sysid_qsys/altera_avalon_sysid_qsys_hw.tcl
M_DEPS += ../../../library/altera_avalon_timer/altera_avalon_timer_hw.tcl
M_DEPS += ../../../library/altera_emif/altera_emif_hw.tcl
M_DEPS += ../../../library/altera_eth_tse/altera_eth_tse_hw.tcl
M_DEPS += ../../../library/altera_msgdma/altera_msgdma_hw.tcl
M_DEPS += ../../../library/altera_nios2_gen2/altera_nios2_gen2_hw.tcl
M_DEPS += ../../../library/altera_reset_bridge/altera_reset_bridge_hw.tcl
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_cmos_if.v M_DEPS += ../../../library/axi_ad9361/axi_ad9361_cmos_if.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361_hw.tcl M_DEPS += ../../../library/axi_ad9361/axi_ad9361_hw.tcl

View File

@ -23,7 +23,7 @@ M_DEPS += ../../common/xilinx/sys_dacfifo.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9144/axi_ad9144.xpr M_DEPS += ../../../library/axi_ad9144/axi_ad9144.xpr
M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr
M_DEPS += ../../../library/axi_adcfifo/axi_adcfifo.xpr M_DEPS += ../../../library/xilinx/axi_adcfifo/axi_adcfifo.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
@ -63,7 +63,7 @@ clean:
clean-all:clean clean-all:clean
make -C ../../../library/axi_ad9144 clean make -C ../../../library/axi_ad9144 clean
make -C ../../../library/axi_ad9680 clean make -C ../../../library/axi_ad9680 clean
make -C ../../../library/axi_adcfifo clean make -C ../../../library/xilinx/axi_adcfifo clean
make -C ../../../library/axi_clkgen clean make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_dmac clean make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_hdmi_tx clean make -C ../../../library/axi_hdmi_tx clean
@ -83,7 +83,7 @@ fmcomms7_zc706.sdk/system_top.hdf: $(M_DEPS)
lib: lib:
make -C ../../../library/axi_ad9144 make -C ../../../library/axi_ad9144
make -C ../../../library/axi_ad9680 make -C ../../../library/axi_ad9680
make -C ../../../library/axi_adcfifo make -C ../../../library/xilinx/axi_adcfifo
make -C ../../../library/axi_clkgen make -C ../../../library/axi_clkgen
make -C ../../../library/axi_dmac make -C ../../../library/axi_dmac
make -C ../../../library/axi_hdmi_tx make -C ../../../library/axi_hdmi_tx

View File

@ -18,6 +18,14 @@ M_DEPS += ../../common/a5gt/a5gt_system_bd.qsys
M_DEPS += ../../common/a5gt/a5gt_system_assign.tcl M_DEPS += ../../common/a5gt/a5gt_system_assign.tcl
M_DEPS += ../../../library/common/altera/ad_xcvr_rx_rst.v M_DEPS += ../../../library/common/altera/ad_xcvr_rx_rst.v
M_DEPS += ../../../library/common/altera/ad_jesd_align.v M_DEPS += ../../../library/common/altera/ad_jesd_align.v
M_DEPS += ../../../library/altera_avalon_pio/altera_avalon_pio_hw.tcl
M_DEPS += ../../../library/altera_avalon_spi/altera_avalon_spi_hw.tcl
M_DEPS += ../../../library/altera_clock_bridge/altera_clock_bridge_hw.tcl
M_DEPS += ../../../library/altera_eth_tse/altera_eth_tse_hw.tcl
M_DEPS += ../../../library/altera_irq_bridge/altera_irq_bridge_hw.tcl
M_DEPS += ../../../library/altera_nios2_gen2/altera_nios2_gen2_hw.tcl
M_DEPS += ../../../library/altera_pll/altera_pll_hw.tcl
M_DEPS += ../../../library/altera_reset_bridge/altera_reset_bridge_hw.tcl
M_ALTERA := quartus_sh --64bit -t M_ALTERA := quartus_sh --64bit -t

View File

@ -20,7 +20,7 @@ M_DEPS += ../../common/zc706/zc706_system_mig.prj
M_DEPS += ../../common/zc706/zc706_system_constr.xdc M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../../library/axi_ad9671/axi_ad9671.xpr M_DEPS += ../../../library/axi_ad9671/axi_ad9671.xpr
M_DEPS += ../../../library/axi_adcfifo/axi_adcfifo.xpr M_DEPS += ../../../library/xilinx/axi_adcfifo/axi_adcfifo.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
@ -56,7 +56,7 @@ clean:
clean-all:clean clean-all:clean
make -C ../../../library/axi_ad9671 clean make -C ../../../library/axi_ad9671 clean
make -C ../../../library/axi_adcfifo clean make -C ../../../library/xilinx/axi_adcfifo clean
make -C ../../../library/axi_clkgen clean make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_dmac clean make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_hdmi_tx clean make -C ../../../library/axi_hdmi_tx clean
@ -72,7 +72,7 @@ usdrx1_zc706.sdk/system_top.hdf: $(M_DEPS)
lib: lib:
make -C ../../../library/axi_ad9671 make -C ../../../library/axi_ad9671
make -C ../../../library/axi_adcfifo make -C ../../../library/xilinx/axi_adcfifo
make -C ../../../library/axi_clkgen make -C ../../../library/axi_clkgen
make -C ../../../library/axi_dmac make -C ../../../library/axi_dmac
make -C ../../../library/axi_hdmi_tx make -C ../../../library/axi_hdmi_tx