fmcomms8: Fix SPI timing

The maximum SPI rate set to 10MHz
main
Adrian Costina 2020-03-09 09:56:16 +00:00
parent fde79a2272
commit 19b7986486
1 changed files with 2 additions and 0 deletions

View File

@ -127,3 +127,5 @@ set_input_delay -clock rx_fmc_dev_clk -min 4 [get_ports sysref_c_p];
set_input_delay -clock tx_fmc_dev_clk -max 4 [get_ports sysref_d_p];
set_input_delay -clock tx_fmc_dev_clk -min 4 [get_ports sysref_d_p];
create_clock -name spi0_clk -period 100 [get_pins -hier */EMIOSPI0SCLKO]